第7章 控制器-1_第1页
第7章 控制器-1_第2页
第7章 控制器-1_第3页
第7章 控制器-1_第4页
第7章 控制器-1_第5页
已阅读5页,还剩38页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、第七章第七章 控制器控制器 控制器的组成及指令的执行控制器的组成及指令的执行7.17.1 硬布线控制器硬布线控制器 7.27.2 微程序控制器微程序控制器 7.37.3 本章小结本章小结227.1 7.1 控制器的组成及指令的执行控制器的组成及指令的执行 基本的计算机组成和功能基本的计算机组成和功能 控制器的组成控制器的组成 时序系统时序系统 控制方式和时序的产生控制方式和时序的产生 系统结构和数据通路的设计系统结构和数据通路的设计 简单计算机系统主机各部件的实现方案简单计算机系统主机各部件的实现方案 指令的执行过程指令的执行过程 一二三四五六七33一、基本的计算机组成和功能一、基本的计算机组

2、成和功能 .地址总线地址总线数据总线数据总线存储器I/O设备I/O设备控制总线控制总线控制器运算器CPU447 71 11 1基本的计算机组成和指令系统的设计基本的计算机组成和指令系统的设计v设计设计CPUCPU的第一步就是确定它的用途。我们的的第一步就是确定它的用途。我们的目标是设计一个简单的目标是设计一个简单的CPU,CPU,该该CPUCPU的指令集就的指令集就包含了包含了: :v加法指令是加法指令是ADD RADD R,#data#data其源操作数采用立即其源操作数采用立即数寻址,目的操作数采用寄存器寻址;数寻址,目的操作数采用寄存器寻址;vJMPJMP指令是指令是JMP addr J

3、MP addr 采用直接寻址,采用直接寻址,addraddr就就是下一条指令的地址。是下一条指令的地址。v将系统的机器字长设计为将系统的机器字长设计为8 8位,地址总线和数位,地址总线和数据总线设计成据总线设计成8 8位,存储器的存储单元宽度也位,存储器的存储单元宽度也设计成设计成8 8位。位。一、基本的计算机组成和功能一、基本的计算机组成和功能 5v假设指令的长度可以是单字节或双字节,将操作假设指令的长度可以是单字节或双字节,将操作码设计为码设计为4 4位,位于指令第一个字节的高位,位于指令第一个字节的高4 4位,指位,指令第一个字节的低令第一个字节的低4 4位,分别指示了源寄存器和位,分别

4、指示了源寄存器和目的寄存器,如果地址码字段是操作数的存储器目的寄存器,如果地址码字段是操作数的存储器地址或立即数等,则位于指令的第二字节地址或立即数等,则位于指令的第二字节操作码源寄存器目的寄存器I7 I6 I5 I4 I3I2 I1I0操作码源寄存器目的寄存器I7 I6 I5 I4 I3I2 I1I0立即数(a a)单字节指令格式)单字节指令格式 (b)(b)双字节指令格式双字节指令格式机器指令格式机器指令格式一、基本的计算机组成和功能一、基本的计算机组成和功能 6v 然后我们为这个然后我们为这个CPUCPU设计状态图,设计状态图,CPUCPU对每条指令执行的操作都可分对每条指令执行的操作都

5、可分为如下的两个过程,译码并不对为如下的两个过程,译码并不对应任何状态,它只是取指令结束应任何状态,它只是取指令结束后到各条指令的执行周期之间的后到各条指令的执行周期之间的一个多路选择。一个多路选择。v 取指令周期:包括从存储器中取取指令周期:包括从存储器中取出一条指令,并对该指令的操作出一条指令,并对该指令的操作码译码;码译码;v 执行周期:执行该指令。执行周期:执行该指令。 .取指译码执行一、基本的计算机组成和功能一、基本的计算机组成和功能 77 71 12 2 控制器的组成控制器的组成 v控制器的功能是:从存储器中取指令、对控制器的功能是:从存储器中取指令、对指令译码、产生控制信号并控制

6、计算机系指令译码、产生控制信号并控制计算机系统各部件有序地执行,从而实现这条指令统各部件有序地执行,从而实现这条指令的功能。的功能。 程序计数器(程序计数器(PCPC)存放当前指令的地址存放当前指令的地址 当指令顺序执行时,由当指令顺序执行时,由PC+1PC+1产生下一条产生下一条指令的地址指令的地址 当遇到转移指令时,转移地址当遇到转移指令时,转移地址PCPC作为作为下一条指令的地址。下一条指令的地址。二、控制器的组成二、控制器的组成87 71 12 2 控制器的组成控制器的组成 指令寄存器(指令寄存器(IRIR)控制器从内存取出的指令,存放在指令寄控制器从内存取出的指令,存放在指令寄存器中

7、,以便控制器对指令进行译码。存器中,以便控制器对指令进行译码。 指令译码器指令译码器指令译码器对指令的操作码字段进行译码,指令译码器对指令的操作码字段进行译码,操作码经过译码后的信号与操作控制信号操作码经过译码后的信号与操作控制信号形成部件一起产生该指令所需要的有一定形成部件一起产生该指令所需要的有一定时序关系的操作控制信号序列。时序关系的操作控制信号序列。二、控制器的组成二、控制器的组成97 71 12 2 控制器的组成控制器的组成 操作控制信号形成部件操作控制信号形成部件 采用硬布线设计的操作控制信号形成部件采用硬布线设计的操作控制信号形成部件 采用微程序设计的操作控制信号形成部件采用微程

8、序设计的操作控制信号形成部件 时序信号产生器时序信号产生器 时序信号产生器负责提供时钟信号和机器周时序信号产生器负责提供时钟信号和机器周期信号,以规定每个操作的时间。期信号,以规定每个操作的时间。 时序信号产生器包括启停线路,负责控制时时序信号产生器包括启停线路,负责控制时钟脉冲的送出与封锁,从而实现计算机的启钟脉冲的送出与封锁,从而实现计算机的启动与停止。动与停止。 地址寄存器(地址寄存器(ARAR)、数据寄存器()、数据寄存器(DRDR) 二、控制器的组成二、控制器的组成107 71 12 2 控制器的组成控制器的组成 地址寄存器(地址寄存器(ARAR)地址寄存器用来存放来自程序计数器地址

9、寄存器用来存放来自程序计数器PCPC或来自运或来自运算器或来自指令的地址码字段或者其他部件的地算器或来自指令的地址码字段或者其他部件的地址信号,然后在规定的节拍统一送上地址总线。址信号,然后在规定的节拍统一送上地址总线。 数据寄存器(数据寄存器(DRDR) 数据寄存器是数据寄存器是CPUCPU中临时存放数据的寄存器。数中临时存放数据的寄存器。数据寄存器可以根据需要指定为源寄存器和目的寄据寄存器可以根据需要指定为源寄存器和目的寄存器。存器。二、控制器的组成二、控制器的组成11三、时序系统三、时序系统三级时序系统三级时序系统 几个基本概念:几个基本概念:指令周期指令周期: :计算机从取出一条指令并

10、完成该指令的执计算机从取出一条指令并完成该指令的执行所需要的时间。各种指令的指令周期是不同的。行所需要的时间。各种指令的指令周期是不同的。机器周期机器周期时钟周期时钟周期节拍脉冲节拍脉冲节拍信号节拍信号12三、时序系统三、时序系统三级时序系统三级时序系统 机器周期:机器周期:又称为又称为CPUCPU周期,一般是指周期,一般是指CPUCPU与内存交与内存交换换一次一次信息(读或写内存)所需要的时间。一个指信息(读或写内存)所需要的时间。一个指令周期由若干个机器周期构成。令周期由若干个机器周期构成。机器周期机器周期时钟周期时钟周期节拍脉冲节拍脉冲节拍信号节拍信号13三、时序系统三、时序系统三级时序

11、系统三级时序系统 时钟周期:时钟周期:又称为节拍周期,一个又称为节拍周期,一个CPUCPU周期包含若干周期包含若干个时钟周期,是处理操作的最基本时间单位,即个时钟周期,是处理操作的最基本时间单位,即T T周周期。期。机器周期机器周期时钟周期时钟周期节拍脉冲节拍脉冲节拍电位节拍电位信号信号14三、时序系统三、时序系统三级时序系统三级时序系统 节拍信号:节拍信号:和节拍周期对应的电位信号,节拍的宽度和节拍周期对应的电位信号,节拍的宽度取决于取决于CPUCPU完成一次基本操作的时间。完成一次基本操作的时间。节拍脉冲:节拍脉冲:和节拍周期对应的脉冲信号。和节拍周期对应的脉冲信号。机器周期机器周期时钟周

12、期时钟周期节拍脉冲节拍脉冲节拍信号节拍信号15三、时序系统三、时序系统 v一个机器周期需要多少个节拍最合适,取决于该一个机器周期需要多少个节拍最合适,取决于该周期时间内需要顺序完成的基本操作步数,可采周期时间内需要顺序完成的基本操作步数,可采用下述方法来确定。用下述方法来确定。 统一节拍法,以最复杂的机器周期为基准来统一节拍法,以最复杂的机器周期为基准来确定节拍数,每一节拍时间的长短也以最繁确定节拍数,每一节拍时间的长短也以最繁琐的微操作为基准,使所有的机器周期长度琐的微操作为基准,使所有的机器周期长度相等,且每一机器周期内含有相同数目的节相等,且每一机器周期内含有相同数目的节拍,称定长机器周

13、期。拍,称定长机器周期。执行指令取指令开始指令周期、机器周期、时钟周期指令周期、机器周期、时钟周期16三、时序系统三、时序系统 分散节拍法,根据机器周期实际的需要安排节拍分散节拍法,根据机器周期实际的需要安排节拍数,需要多少个节拍就提供多少个节拍,称不定数,需要多少个节拍就提供多少个节拍,称不定长机器周期。长机器周期。 延长节拍法,大多数机器周期采用相同的基本节延长节拍法,大多数机器周期采用相同的基本节拍数,若某个机器周期内按规定的基本节拍数无拍数,若某个机器周期内按规定的基本节拍数无法完成该周期的全部微操作,则可延长节拍。法完成该周期的全部微操作,则可延长节拍。执行指令取指令开始指令周期、机

14、器周期、时钟周期指令周期、机器周期、时钟周期17三、时序系统三、时序系统延长机器周期的时序图延长机器周期的时序图 时钟周期插入,某些微型机的时序信号中不设时钟周期插入,某些微型机的时序信号中不设置节拍,直接使用时钟周期信号。一个机器周置节拍,直接使用时钟周期信号。一个机器周期中含有若干个时钟周期,时钟周期的数目取期中含有若干个时钟周期,时钟周期的数目取决于机器周期内要完成的微操作个数。一个机决于机器周期内要完成的微操作个数。一个机器周期的基本时钟周期数确定后,还可以不断器周期的基本时钟周期数确定后,还可以不断插入等待时钟周期插入等待时钟周期。18四、控制方式和时序的产生四、控制方式和时序的产生

15、 1.1. 控制方式控制方式 同步控制方式同步控制方式又称为又称为固定时序控制方式固定时序控制方式或或无应答控制方式无应答控制方式。任何指。任何指令的执行或指令中每个微操作的执行都受事先安排好令的执行或指令中每个微操作的执行都受事先安排好的时序信号的控制,每个时序信号的结束就意味着一的时序信号的控制,每个时序信号的结束就意味着一个微操作或一条指令已经完成,随即开始执行后续的个微操作或一条指令已经完成,随即开始执行后续的微操作或自动转向下一条指令的执行。微操作或自动转向下一条指令的执行。在同步控制方式中,以微操作序列最长的指令为标准,在同步控制方式中,以微操作序列最长的指令为标准,确定控制微操作

16、运行的时钟周期数(节拍数)。控制确定控制微操作运行的时钟周期数(节拍数)。控制器产生统一的、顺序固定的、周而复始的节拍电位器产生统一的、顺序固定的、周而复始的节拍电位(机器周期信号)和节拍脉冲(时钟周期信号)。(机器周期信号)和节拍脉冲(时钟周期信号)。优点:电路简单,缺点:运行速度慢优点:电路简单,缺点:运行速度慢。 节拍电位节拍脉冲 19四、控制方式和时序的产生四、控制方式和时序的产生 异步控制方式异步控制方式异步控制方式又称异步控制方式又称可变时序控制方式可变时序控制方式或或应答控制应答控制方式方式。每条指令需要多少节拍,就产生多少节拍;。每条指令需要多少节拍,就产生多少节拍;当指令执行

17、完毕,发出回答信号;控制器收到回当指令执行完毕,发出回答信号;控制器收到回答信号时,才开始下条指令的执行。答信号时,才开始下条指令的执行。优点:每条指令都可按其实际需要的时间分配节优点:每条指令都可按其实际需要的时间分配节拍,使得指令的运行效率高;拍,使得指令的运行效率高;缺点:控制器的电路比较复杂。缺点:控制器的电路比较复杂。异步控制方式在计算机中得到广泛的应用。例如异步控制方式在计算机中得到广泛的应用。例如CPUCPU对内存的读写;对内存的读写;I/OI/O设备与内存的数据交换等设备与内存的数据交换等都采用异步控制方式,以保证高速度的执行。都采用异步控制方式,以保证高速度的执行。 20四、

18、控制方式和时序的产生四、控制方式和时序的产生 联合控制方式联合控制方式 把同步控制方式和异步控制方式结合使用的一种方把同步控制方式和异步控制方式结合使用的一种方式。大部分指令安排在统一的机器周期内完成,即式。大部分指令安排在统一的机器周期内完成,即同步控制;而将较少数特殊指令,或微操作序列过同步控制;而将较少数特殊指令,或微操作序列过长或过短,或微操作时间难以确定的,采用异步控长或过短,或微操作时间难以确定的,采用异步控制来完成。制来完成。 现代计算机系统大多采用联合控制方式,其一般设现代计算机系统大多采用联合控制方式,其一般设计思想是,在功能部件内部采用同步控制方式,而计思想是,在功能部件内

19、部采用同步控制方式,而在功能部件之间采用异步控制方式。在功能部件之间采用异步控制方式。 优点:能保证一定的运行速度优点:能保证一定的运行速度 缺点:控制电路设计相对比较复杂缺点:控制电路设计相对比较复杂。 21四、控制方式和时序的产生四、控制方式和时序的产生2.2. 时序脉冲发生器和启停控制时序脉冲发生器和启停控制时序脉冲发生器时序脉冲发生器就是根据时钟产生一定频率的节就是根据时钟产生一定频率的节拍脉冲信号作为整个机器工作的时序信号拍脉冲信号作为整个机器工作的时序信号;启停控制电路启停控制电路是保证在适当的时刻准确可靠地开是保证在适当的时刻准确可靠地开启或封锁计算机工作时钟,以控制微操作命令序

20、启或封锁计算机工作时钟,以控制微操作命令序列的产生或停止,从而启动或停止计算机的运行。列的产生或停止,从而启动或停止计算机的运行。通常用通常用访问一次主存取指或取数据的时间访问一次主存取指或取数据的时间来作为来作为机器周期的基本时间。若个别操作不能完成的可机器周期的基本时间。若个别操作不能完成的可采用增加机器周期或者采用应答方式来解决。采用增加机器周期或者采用应答方式来解决。控制器的时钟输入实际上是节拍脉冲序列,其频控制器的时钟输入实际上是节拍脉冲序列,其频率即为率即为机器的主频机器的主频。 22五、系统结构和数据通路的设计五、系统结构和数据通路的设计 v一般地,对于数据通路的设计,可以有两种

21、一般地,对于数据通路的设计,可以有两种不同的方案:不同的方案:v第一种第一种是在所有需要传送数据的部件之间创是在所有需要传送数据的部件之间创建一条直接通路,这种方案对于很小的计算建一条直接通路,这种方案对于很小的计算机系统来说是可行的,但是如果所要设计的机系统来说是可行的,但是如果所要设计的CPUCPU的复杂度增加的话,用这种方案来设计数的复杂度增加的话,用这种方案来设计数据通路将变得越来越不现实。据通路将变得越来越不现实。v第二种方案第二种方案是在是在CPUCPU内部创建一条总线,并且内部创建一条总线,并且在各个部件之间使用总线来传递数据。在各个部件之间使用总线来传递数据。23I/O设备运算

22、部件地址寄存器AR指令寄存器IR指令译码器ID操作控制信号形成部件存储器.程序计数器PC数据寄存器DRCPU内部总线8地址总线AB数据总线DB88选择第二种方案,勾画出简单计算机系统的结构选择第二种方案,勾画出简单计算机系统的结构24242424得到访存的数据通路如下得到访存的数据通路如下:v存储器读操作存储器读操作:送地址到送地址到CPUCPU片内总线,并打入地址寄片内总线,并打入地址寄存器存器ARAR;控制器发送存储器读信号,启动存储器读操作,;控制器发送存储器读信号,启动存储器读操作,并将读出的数据从数据总线上接收至数据寄存器并将读出的数据从数据总线上接收至数据寄存器DRDR。I/O设备

23、运算部件地址寄存器AR指令寄存器IR指令译码器ID操作控制信号形成部件存储器.程序计数器PC数据寄存器DRCPU内部总线8地址总线AB数据总线DB8825得到访存的数据通路如下:得到访存的数据通路如下:v存储器写操作存储器写操作:送地址到送地址到CPUCPU片内总线,并打入地址寄片内总线,并打入地址寄存器存器ARAR;送数据到;送数据到DRDR,DRDR将数据送到数据总线,控制器将数据送到数据总线,控制器发送存储器写信号,启动存储器写操作发送存储器写信号,启动存储器写操作。I/O设备运算部件地址寄存器AR指令寄存器IR指令译码器ID操作控制信号形成部件存储器.程序计数器PC数据寄存器DRCPU

24、内部总线8地址总线AB数据总线DB8826v细化图中的运算部件,发现还需要不止一个寄存器以便细化图中的运算部件,发现还需要不止一个寄存器以便暂时保存数据,这些寄存器称为暂时保存数据,这些寄存器称为通用寄存器通用寄存器,通常,通常CPUCPU会会把它们中的一个命名为把它们中的一个命名为累加器(累加器(ACAC),),它与其他的寄存它与其他的寄存器有些许的不同,但是对这个系统来说,并不一定要有器有些许的不同,但是对这个系统来说,并不一定要有个专门的个专门的ACAC,结合第四章所学的定点运算器内部单总线,结合第四章所学的定点运算器内部单总线结构和通路,画出系统运算部件的内部结构图,如图所结构和通路,

25、画出系统运算部件的内部结构图,如图所示。示。ALUDA2B-DA2DA1B-DA1R0RRnCPU内部总线内部总线.功能功能选择选择信号信号1.通通用用寄寄存存器器 运算部件内部结构图运算部件内部结构图 五、系统结构和数据通路的设计五、系统结构和数据通路的设计 27数据总线数据总线 DB8ALUR0R1RnDA2DA1运运 算算器器R2地址寄存器地址寄存器AR时序信号时序信号产生器产生器操作控制信号操作控制信号形成部件形成部件指令译码器指令译码器PC+1程序计数器程序计数器 PC指令寄存器指令寄存器 IR控制器控制器地址总线地址总线 AB存储器存储器地址地址指令或数据指令或数据存储器存储器8.

26、简化后的简单计算机系统的结构图简化后的简单计算机系统的结构图BIUBIU简化为简化为:与外部地址总线连接的是:与外部地址总线连接的是ARAR,与外部数据总线连,与外部数据总线连接的是接的是CPUCPU内部总线;因此,内部总线;因此,省略了省略了DRDR。于是把片外的数据总于是把片外的数据总线和线和CPUCPU片内总线合并成一条总线,称之为片内总线合并成一条总线,称之为数据总线数据总线DBDB 五、系统结构和数据通路的设计五、系统结构和数据通路的设计 2828简化结构图上访存的数据通路:简化结构图上访存的数据通路:v存储器读操作:存储器读操作:送地址到送地址到CPUCPU片内总线,并打入地址寄存

27、片内总线,并打入地址寄存器器ARAR;控制器发送存储器读信号;控制器发送存储器读信号M-R# =0M-R# =0,启动存储器读,启动存储器读操作,并将读出的数据从数据总线上接收至目的寄存器。操作,并将读出的数据从数据总线上接收至目的寄存器。v例如取指令操作例如取指令操作数据总线数据总线 DB8ALUR0R1RnDA2DA1运运 算算器器R2地址寄存器地址寄存器AR时序信号时序信号产生器产生器操作控制信号操作控制信号形成部件形成部件指令译码器指令译码器PC+1程序计数器程序计数器 PC指令寄存器指令寄存器 IR控制器控制器地址总线地址总线 AB存储器存储器地址地址指令或数据指令或数据存储器存储器

28、8.2929简化结构图上访存的数据通路简化结构图上访存的数据通路:v存储器写操作:存储器写操作:送地址到送地址到CPUCPU片内总线,并打入地址寄存片内总线,并打入地址寄存器器ARAR;将数据送到数据总线,控制器发送存储器写信号;将数据送到数据总线,控制器发送存储器写信号M-W# =0M-W# =0,启动存储器写操作,启动存储器写操作。数据总线数据总线 DB8ALUR0R1RnDA2DA1运运 算算器器R2地址寄存器地址寄存器AR时序信号时序信号产生器产生器操作控制信号操作控制信号形成部件形成部件指令译码器指令译码器PC+1程序计数器程序计数器 PC指令寄存器指令寄存器 IR控制器控制器地址总

29、线地址总线 AB存储器存储器地址地址指令或数据指令或数据存储器存储器8.3030ALUALU的数据通路:的数据通路:v 运算器的运算操作:运算器的运算操作: 送第一个数据到总线,并打入送第一个数据到总线,并打入ALUALU暂存器暂存器DA1DA1(或(或DA2DA2);); 送第二个数据到总线,且打入送第二个数据到总线,且打入ALUALU暂存器暂存器DA2DA2(或(或DA1DA1);); 发送运算器功能选择信号,控制发送运算器功能选择信号,控制ALUALU进行某种运算,并将进行某种运算,并将结果通过数据总线结果通过数据总线DBDB送目的部件(例如某通用寄存器)。送目的部件(例如某通用寄存器)

30、。数据总线数据总线 DB8ALUR0R1RnDA2DA1运运 算算器器R2地址寄存器地址寄存器AR时序信号时序信号产生器产生器操作控制信号操作控制信号形成部件形成部件指令译码器指令译码器PC+1程序计数器程序计数器 PC指令寄存器指令寄存器 IR控制器控制器地址总线地址总线 AB存储器存储器地址地址指令或数据指令或数据存储器存储器8.3131六、简单计算机系统主机各部件的实现方案六、简单计算机系统主机各部件的实现方案v1.1.运算器运算器 8 8位的算术逻辑运算器,位的算术逻辑运算器,2 2个暂存器为个暂存器为DA1DA1和和DA2DA2,控制信号,控制信号用用B-DA1B-DA1和和B-DA

31、2,B-DA2,在输出端用一个三态门控制数据是否送在输出端用一个三态门控制数据是否送上总线,控制信号是上总线,控制信号是ALU-B#ALU-B#,S0S3S0S3、M M、CiCi是该是该ALUALU的运的运算选择信号。算选择信号。 R0-B#R3-B#R0-B#R3-B#分别是读分别是读R0R3R0R3的控制信号,的控制信号,B-R0B-R3B-R0B-R3分别分别是写是写R0R3R0R3的控制信号。的控制信号。ALUS0S1S2S3MCiDA2B-DA2DA1B-DA1ALU-BR3-BR0-BR0B-R0R1R3R1-BB-R1B-R2R2R2-BB-R3CPU内部总线内部总线32六、简

32、单计算机系统主机各部件的实现方案六、简单计算机系统主机各部件的实现方案v 2.2.控制器控制器 程序计数器程序计数器PC,PC-B#PC,PC-B#是将是将PCPC值送上数据总线的控制信号,值送上数据总线的控制信号,PC+1PC+1是是PCPC的自增的自增1 1控制信号。控制信号。B-PC#B-PC#信号控制将数据总线的值信号控制将数据总线的值送入送入PCPC。当把数据总线上的值送入。当把数据总线上的值送入PCPC的时候,需要控制信号的时候,需要控制信号B-PC#=0B-PC#=0且且PC+1PC+1有效有效,因为打入脉冲可以由,因为打入脉冲可以由PC+1PC+1提供。提供。 地址寄存器地址寄

33、存器ARAR的输入控制信号是的输入控制信号是B-ARB-AR,指令寄存器,指令寄存器IRIR的输入的输入控制信号是控制信号是B-IRB-IR。PCPC+1B-PC PC-B数据总线数据总线DBARB-AR地址总线地址总线ABIRB-IRID操作操作控制控制信号信号形成形成部件部件.整整机机控控制制信信号号3333v3.3.存储器存储器v用一片用一片SRAMSRAM芯片就可以满足存储需要芯片就可以满足存储需要vSRAMSRAM芯片存储单元是字节。芯片存储单元是字节。v用两个信号用两个信号M-R#M-R#和和M-W#M-W#来控制读和写这个存储器。来控制读和写这个存储器。SRAM地址总线地址总线A

34、BM-WM-RDA数据总线数据总线DB六、简单计算机系统主机各部件的实现方案六、简单计算机系统主机各部件的实现方案34七、指令的执行过程七、指令的执行过程v把指令具体化,对于加法指令(把指令具体化,对于加法指令(ADD RADD R,#data#data)具体)具体化为化为ADD R0, 06HADD R0, 06Hv对于无条件跳转指令(对于无条件跳转指令(JMP addrJMP addr)具体化为)具体化为JMP 04HJMP 04Hv根据所设计的指令格式,它们都应该是根据所设计的指令格式,它们都应该是双字节的指令双字节的指令v假如加法指令的操作码是假如加法指令的操作码是01010101,则

35、加法指令,则加法指令ADD R0, ADD R0, 06H06H对应的机器码是对应的机器码是50H50H和和06H06H;v假如无条件跳转指令的操作码是假如无条件跳转指令的操作码是10001000,则无条件跳转,则无条件跳转指令指令JMP 04HJMP 04H对应的机器码是对应的机器码是80H80H和和04H04H;操作码源寄存器目的寄存器I7 I6 I5 I4 I3I2 I1I0操作码源寄存器目的寄存器I7 I6 I5 I4 I3I2 I1I0立即数(a a)单字节指令格式)单字节指令格式 (b)(b)双字节指令格式双字节指令格式机器指令格式机器指令格式3535七、指令的执行过程七、指令的执

36、行过程v假设它们已经在存储器中了,且位于地址假设它们已经在存储器中了,且位于地址04H07H04H07H的单元内,下表给出了这两条指令的内的单元内,下表给出了这两条指令的内容和在存储器中的位置。容和在存储器中的位置。存放在存储器中的二条指令内容存放在存储器中的二条指令内容指令地址指令地址指令机器码指令机器码助记符助记符0000 01000000 01000101 00000101 0000ADD RADD R0 0, 06H, 06H0000 01010000 01010000 01100000 0110立即数立即数0000 01100000 01101000 00001000 0000JMP

37、 04HJMP 04H0000 01110000 01110000 01000000 0100转移地址转移地址36361 1、取指令、取指令 控制器先将第一条指令的地址置入控制器先将第一条指令的地址置入PCPC PCPC将当前指令的地址送到将当前指令的地址送到地址寄存器地址寄存器ARAR,同时程,同时程序计数器序计数器PCPC的内容递增以指向下一条指令的地址;的内容递增以指向下一条指令的地址; ARAR的输出通过地址总线送到存储器的地址端,指的输出通过地址总线送到存储器的地址端,指明指令所在的地址单元,控制器发出读控制信号,明指令所在的地址单元,控制器发出读控制信号,控制从存储器中读出这条指令

38、;控制从存储器中读出这条指令; 该指令通过数据总线送到该指令通过数据总线送到指令寄存器指令寄存器IRIR。 指令取到指令寄存器指令取到指令寄存器IRIR后,后,指令译码器指令译码器对其译码;对其译码; 指令译码器将译码结果传递给操作控制信号形成指令译码器将译码结果传递给操作控制信号形成部件,至此,取指令的过程完成。部件,至此,取指令的过程完成。3737链接到P29执行指令执行指令v 操作控制信号形成部件根据指令译码操作控制信号形成部件根据指令译码信息和时序周期信号,发出该指令所信息和时序周期信号,发出该指令所需的所有部件的有一定时序关系的控需的所有部件的有一定时序关系的控制信号序列,完成指令的

39、执行。执行制信号序列,完成指令的执行。执行指令与指令的内容有很大的关系指令与指令的内容有很大的关系。3838取加法指令取加法指令v PCPC置为置为04H04H,并送到,并送到ARAR,ARAR的地址通过的地址通过ABAB送到存储器送到存储器的地址端,的地址端,PC+1PC+1,指向,指向05H05H,以准备取立即数;,以准备取立即数;v 控制器读,将该地址单元的内容控制器读,将该地址单元的内容50H50H读出,通过读出,通过DBDB送送到到IRIR,IRIR中的指令送到指令译码器中的指令送到指令译码器IDID进行译码,将结进行译码,将结果信息送到操作控制信号形成部件;果信息送到操作控制信号形

40、成部件;数据总线数据总线 DB8ALUR0R1RnDA2DA1运运 算算器器R2地址寄存器地址寄存器AR时序信号时序信号产生器产生器操作控制信号操作控制信号形成部件形成部件指令译码器指令译码器PC+1程序计数器程序计数器PC指令寄存器指令寄存器IR控制器控制器地址总线地址总线 AB存储器存储器地址地址指令或数据指令或数据存储器存储器8ADD指令指令(50H)操作数操作数06HJMP指令指令(80H)转移地址转移地址04H06H05H04H07H0000 0100(04H)0101 0000(50H)0000 0101(05H)(1)(1)(2)(2)(2)(2)ADD R0, 06H3939执

41、行执行ADDADD指令指令v 将将PCPC的内容的内容05H05H送到送到ARAR,同时,同时PC+1PC+1;v 从存储器从存储器05H05H单元中读出操作数,送到暂存器单元中读出操作数,送到暂存器DA1DA1;v 根据根据IRIR中的低中的低4 4位,由寄存器地址译码后,寻址源操位,由寄存器地址译码后,寻址源操作数寄存器为作数寄存器为R0R0,从,从R0R0中取出另一操作数,送中取出另一操作数,送DA2DA2;v 在在ALUALU中进行加法运算,并将结果送到目的寄存器中进行加法运算,并将结果送到目的寄存器R0R0中存放中存放数据总线数据总线 DB8ALUR0R1RnDA2DA1运运 算算器器R2地址寄存器地址寄存器AR时序信号时序信号产生器产生器操作控制信号操作控制信号形成部件形成部件指令译码器指令译码器PC+1程序计数器程序计数器PC指令寄存器指令寄存器IR控制器控制器地址总线地址总线 AB存储器存储器地址地址指令或数据指令或数据存储器存储

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论