毕业论文-基于VHDL的等精度频率计设计与实现.doc_第1页
毕业论文-基于VHDL的等精度频率计设计与实现.doc_第2页
毕业论文-基于VHDL的等精度频率计设计与实现.doc_第3页
毕业论文-基于VHDL的等精度频率计设计与实现.doc_第4页
毕业论文-基于VHDL的等精度频率计设计与实现.doc_第5页
已阅读5页,还剩39页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

毕业设计(论文)毕业设计(论文) 基于基于 VHDLVHDL 的等精度频率计设计与实现的等精度频率计设计与实现 Design and Realization of the Accurate Cymometer Based on VHDL 长长 春春 工工 程程 学学 院院 : 张兴宇 : 电气与信息学院 : 电子信息工程 : 0443 : 倪虹霞 : 副教授 : 2008 年 6 月 20 日 学生姓名 所在院系 所学专业 所在班级 指导教师 教师职称 完成时间 长春工程学院毕业设计(论文) 摘摘 要要 基于传统测频原理的频率计的测量精度将随被测信号频率的下降而降低,在 实用中有较大的局限性,本设计采用单片机AT89C51作为主要的控制单元,用来 完成电路的信号测试控制、数据运算处理、键盘扫描和控制数码管显示等功能, 待测信号经过LM358放大后又经过74HC14形成系统需要的矩形波,然后送入复杂 可编程逻辑器件(CPLD),最后由可编程逻辑器件CPLD进行各种时序控制及计 数测频功能,并用8位8段LED进行显示。 关键词 单片机 可编程逻辑器件 频率计 长春工程学院毕业设计(论文) Abstract Based on the traditional principle of measuring the frequency of the frequency of measurement accuracy will be tested with the frequency and reduce the decline in the more practical limitations.SCM AT89C51 use this design as the main control unit, the signals used to complete the circuit test control, data processing, keyboard scanning and digital control of the show, and other functions, under test signal LM358 Larger then after a 74 HC14 system needs Rectangular waves, and then into the complex programmable logic devices (CPLD), programmable logic devices by the end CPLD various control and timing count frequency measurement functions, and with eight 8 of the LED display. Keywords: SCMC CPLD Cymometer 长春工程学院毕业设计(论文) I 目 录 1 引言.1 1.1 课题分析1 1.2 等精度频率计在国内外发展概况.1 1.3 MAX+PLUS II 简介及 VHDL 语言简介.2 1.4 课题要求4 2 等精度频率计的方案选择及原理分析5 2.1 等精度频率计测频原理5 2.2 系统原理框图.6 2.3 周期测量.6 2.4 脉冲宽度测量.7 2.5 周期脉冲信号占空比的测量7 3 等精度频率计硬件设计8 3.1 键盘控制模块.8 3.2 显示模块8 3.3 主控模块9 3.4 信号输入放大和整形模块.11 3.5 音频输出电路.12 3.6 CPLD 功能模块描述13 4 等精度频率计软件设计方案.14 4.1 VHDL 语言.14 4.2 VHDL 软件设计方案15 4.3 所需 VHDL 文件及波形仿真结果.15 4.4 单片机的汇编语言编程.17 长春工程学院毕业设计(论文) II 5 电路系统调试.21 6 结论.22 致 谢24 附录一:元器件清单25 附录二:程序清单.26 附录三:原理图36 长春工程学院毕业设计(论文) 1 1 引言 1.1 课题分析 在现代电子系统中,数字系统所占的比例越来越大。系统发展的趋势是数字化和集成化, CPLD 作为可编程 ASIC(专用集成电路)器件,它将在数字逻辑系统中发挥越来越重要的作用。 而数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。 数字频率计是数字电路中的一个典型应用, 实际的硬件设计用到的器件较多, 连线比较 复杂, 而且会产生较大的延时, 造成测量误差、可靠性差。随着可编程逻辑器件(CPLD) 的广 泛应用, 以 EDA 工具为开发平台, 利用 VHDL(Very HighSpeed Integrated CircuitHardware Description Language, 超高速集成电路硬件描述语言) 工业标准硬件描 述语言, 采用自顶向下( Top to Down) 和基于库( Library- based) 的设计, 设计者不但可 以不必了解硬件结构设计, 而且将使系统大大简化, 提高整体的性能和可靠性。本课题正是 利用 EDA 技术,基于单片机和 CPLD 设计实现频率计,这使设计过程大大简化,缩短了开发 周期,减小了电路系统的体积,同时也有利于保证频率计较高的精度和较好的可靠性,本设 计具有结构简单,成本低廉,开发周期短的特点。 1.2 等精度频率计在国内外发展概况 目前发达国家在电子产品开发中 EDA 工具的利用率已达 50,而大部分的 ASIC 和 CPLD 已采用 HDL (Hardware Description Language硬件描述语言)设计。传统的频率计通 过普通的硬件电路组合来实现,其开发过程、调试过程繁锁,并且由于其体积大以及电子器 件之间的互相干扰,影响了频率计的精度,在实际应用中局限性很大,已不适应电子设计的 发展要求。CPLD(Complex programmable LogicDevice,复杂可编程逻辑器件)是可编程逻辑器 件, 它是在 PAL 等逻辑器件基础上发展起来的。同以往的 P A L 相比, C P L D 的规模比较 大,适合于时序、组合等逻辑电路的应用。它具有设计开发周期短、设计制造成本低、开发工 具先进、标准产品无需测试、质量稳定以及实时在检验等优点,因此,可广泛应用于产品的原 理设计和产品生产之中。 随着 EDA(电子设计自动化)技术和微电子技术的进步,CPLD 的时钟延迟可达到 ns 级,结合其并行工作方式,在超高速、实时测控方而有非常广阔的应用前景:并且 CPLD 具 有高集成度,高可靠性,几乎可将整个设计系统下载于同一芯片中!实现所谓片上系统,从而 大大缩小其体积,具有可编程型和实现方案容易改动的特点。CPLD 的逻辑功能模块包括 32 长春工程学院毕业设计(论文) 2 位计数器,数据选择器和 D 触发器等逻辑块,各逻辑模块用硬件描述语言 VHDL 来描述其 功能。然后通过 EDA 开发平台对设计文件自动地完成逻辑编译、逻辑化简、综合及优化、 逻辑布局布线、逻辑仿真。最后对 CPLD 芯片进行编程,以实现系统的设计要求。 所谓频率,就是周期性信号在单位时间(1s)内变化的次数。若在一定时间间隔 T 内测得 这个周期性信号的重复变化次数为 N,则其频率可表示为。TNf/ 1、直接测频法:即在一定闸门时间内测量被测信号的脉冲个数。此方法在低频段的相 对测量误差较大。 2、间接测量法:例如周期测频法(先测出信号的周期,再将其转换成频率)。这种方法 仅适用于低频信号的测量。 3、等精度测频法:测量一定闸门时间内标准信号与被测信号的脉冲个数,分别记为 Nb、Nx,则被测信号频率为:。 xx NNbFbF)/( 1.3 Max+Plus II 简介及 VHDL 语言简介 Max+plusII 是 Altera 公司推出的的第三代 PLD 开发系统(Altera 第四代 PLD 开发系统被 称为:QuartusII,主要用于设计新器件和大规模 CPLD/FPGA).使用 Max+plusII 的设计者不需 精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语 言)建立设计,Max+plusII 把这些设计转自动换成最终所需的格式。其设计速度非常快。对 于一般几千门的电路设计,使用 Max+plusII,从设计输入到器件编程完毕,用户拿到设计好 的逻辑电路,大约只需几小时。设计处理一般在数分钟内内完成。特别是在原理图输入等方 面,MaxplusII 被公认为是最易使用,人机界面最友善的 PLD 开发软件,特别适合初学者使 用。 VHDL(Very High Speed Integrated Circuit Hardware Description Language,超高速集成电 路硬件描述语言)诞生于 1982 年,是由美国国防部开发的一种快速设计电路的工具,目前 已经成为 IEEE(The Institute of Electrical and Electronics Engineers)的一种工业 标准硬件描述语言。相比传统的电路系统的设计方法,VHDL 具有多层次描述系统硬件功能的 能力,支持自顶向下(Top to Down)和基于库(LibraryBased)的设计的特点,因此设计 者可以不必了解硬件结构。从系统设计入手,在顶层进行系统方框图的划分和结构设计,在 方框图一级用 VHDL 对电路的行为进行描述,并进行仿真和纠错,然后在系统一级进行验证, 长春工程学院毕业设计(论文) 3 最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的 CPLD 器件中去, 从而实现可编程的专用集成电路(ASIC)的设计。 17 VHDL 主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的 语句外,VHDL 的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL 的 程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系 统)分成外部(或称可视部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能 和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设 计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是 VHDL 系统设计的基本 点。应用 VHDL 进行工程设计的优点是多方面的。 1. 与其他的硬件描述语言相比,VHDL 具有更强的行为描述能力,从而决定了他成为系 统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为 上描述和设计大规模电子系统的重要保证。 2. VHDL 丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的 功能可行性,随时可对设计进行仿真模拟。 3. VHDL 语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设 计的再利用功能。符合市场需求的大规模系统高效,高速的完成必须有多人甚至多个代发组 共同并行工作才能实现。 4. 对于用 VHDL 完成的一个确定的设计,可以利用 EDA 工具进行逻辑综合和优化,并自 动的把 VHDL 描述设计转变成门级网表。 5. VHDL 对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终 设计实现的目标器件是什么,而进行独立的设计。 本设计的指导思想 本设计采用等精度测频原理,采用自上向下的设计方法,用 AT89C51 单片机作为系统的 主控部件,实现整个电路的测试信号控制、数据运算处理、控制数码管的显示输出。一块复 杂可编程逻辑器件 CPLD(Complex Programmable Logic Device)芯片 EPM7128SLC84-15 完 成各种时序逻辑控制、计数功能。在 MAX+PLUS平台上,用 VHDL 语言编程完成了 CPLD 长春工程学院毕业设计(论文) 4 的软件设计、编译、调试、仿真和下载。在 AT89C51 单片机控制下,当打开闸门信号时, 被测信号经过放大、整形后与系统提供的 50MHZ 基准时钟信号同时送入 CPLD 的两个输入 端计数,当闸门信号关闭时,CPLD 内的两套 32 位计数器同时停止计数,单片机将计数器的 计数值分为四次读入其内存进行运算处理,并将结果输出显示。用户可以根据需要选择想要 的时钟频率。 8 1.4 课题要求 等精度频率计最重要的功能是根据基准时钟信号实现对被测信号的频率进行检测,不但 具有较高的测频精度,不随所测信号的变化而变化,而且在整个测频区域能保持恒定的测频 精度。具体要求如下: (1)本设计对频率的测量实现等精度测量,测频范围:0.1HZ 到 100MHZ 之间,测频精度: 测频全域相对误差恒为百万分之一。 (2)周期测量精度和频率测量精度相同。 (3)脉宽测试功能:测试范围 0.1s1s,测试精度 0.01s 。 (4)占空比测试功能:测试精度 199。 长春工程学院毕业设计(论文) 5 2 等精度频率计的方案选择及原理分析 基于传统测频原理的频率计的测量精度将随被测信号频率的变化而变化。传统的直接 测频法其测量精度将随被测信号频率的降低而降低。测周法的测量精度将随被测信号频率的 升高而降低,在实用中有较大的局限性,而等精度频率计不但具有较高的测量精度,而且在 整个频率区域能保持恒定的测试精度。 2.1 等精度频率计测频原理 一般情况下,频率测量可按照以下三种方法来进行: 方法一:完全按定义式进行测量。被测信号x经放大整形以形成时标Bx,晶TNF/ 振经分频形成时基TR。然后用时基TR开闸门,累计时标Bx的个数,则由公式可得: FX=1/BX=N/TR。此方案为传统的测频方案,其测量精度将随被测信号频率的下降而降低。 方法二:对被测信号的周期进行测量,并利用(频率周期)得出频率。TF/1 测周期时,晶振R经分频形成时标Bx,被测信号经放大整形形成时基控制闸门。然后测 TR 量闸门输出的计数脉冲,则。但该方法在被测信号的周期较短时,其TRBxN/NBxTx 精度将大大下降。 方法三:等精度测频,即按定义式进行测量,图l是等精度测频法的原理框图。TNF/ 图中,被测信号x经放大整形后可形成时标Bx,而将时标Bx经编程处理后可形成时基TR。用 时基TR开闸门并累计时标Bx的个数,则由公式可得。此方案的闸门时间随TNBF xx /1 被测信号的频率变化而变化,但测量精度将不会随着被测信号频率的下降而降低。 放大整形闸 门计数显示 微处理器闸 门时间计算 图 2-1 等精度测频方案方框图 综上所述,选用第三种等精度测频方案最好。用 AT89C51 单片机作为系统的主控部件, 长春工程学院毕业设计(论文) 6 实现整个电路的测试信号控制、数据运算处理、控制数码管的显示输出。一块复杂可编程逻 辑器件 CPLD(Complex Programmable Logic Device)芯片 EPM7128SLC84-15 完成各种时序逻 辑控制、计数功能。在 MAX+PLUS平台上,用 VHDL 语言编程完成了 CPLD 的软件设计、 编译、调试、仿真和下载。在 AT89C51 单片机控制下,当打开闸门信号时,被测信号经过 放大、整形后与系统提供的 50MHZ 基准时钟信号送入 CPLD 的输入端计数,当闸门信号关 闭时,CPLD 内的计数器同时停止计数,单片机将计数器的计数值读入其内存进行运算处理, 并将结果输出显示。 2.2 系统原理框图 系统组成原理框图如图 2-2 所示。由一片 CPLD 完成各种测试功能,对标准频率和被测 信号频率进行计数。单片机对整个测试系统进行控制,包括对键盘信号的读入与处理,对 CPLD 测量过程的控制,测量结果数据处理;最后将测量结果送 LED 显示输出。被测信号整 形电路主要对被测信号进行限幅、放大,在整形后送入 CPLD,用 50MHz 的有源晶振作为 CPLD 的测试标准频率。电源部分采用直流 5V 电压供整个系统使用,单片机由外接 12MHz 标准晶振提供时钟电路。 图 2-2 系统原理框图 2.3 周期测量 (1)直接周期测量法:用被测信号经放大整形后形成的方波信号直接控制计数门控电 长春工程学院毕业设计(论文) 7 路,使主门开放时间等于信号周期 TX,时标为 TS的脉冲在主门开放时间进入计数器。设 T 为被测周期,TS为时标,在 TX期间计数值为 N,可以根据以下公式来算得被测信号周期: 。经误差分析表明,被测信号频率越高,测量误差越大。 sx NTT (2)等精度周期测量方法:本方法在测量电路和测量精度上与等精度频率测量完全相 同,只是计算公式不同,用周期 T 代换等精度频率测量公式中的频率倒数即可。计算公式为 。式中 TX为被测信号周期的测量值,NS,NX分别与(1)中的 NS,NX xsxx NNTT/ )*( 含义相同。从降低电路的复杂度及提高精度上考虑,显然方法(2)远好于方法(1),方法 (2)的测量电路完全可以使用等精度频率测量电路。 2.4 脉冲宽度测量 在进行脉冲宽度的测量时,首先经信号处理电路进行处理,然后送入测量计数器进行测 量。测量电路在检测到脉冲的上升沿是打开计数器,在下降沿时关掉计数器。由下式: TWX=NX/FS。由公式可知计数值即为测得的脉冲宽度。 2.5 周期脉冲信号占空比的测量 测一个脉冲信号的脉宽,记其值为 TWX1,信号反相后,再测一次脉宽并记录其值 TWX2,通过以下公式计算: 占空比= %100*)/( 211wxwxwx TTT 在预置门时间和常规测频闸门时间相同而被测信号频率不同的情况下,等精度测量法的测量 精度在整个测量范围内保持恒定不变,而常规的直接测频法(在低频时用测周法,高频时用 测频法) ,测量精度会随着被测信号频率的下降而下降。 预置门的概念与传统的闸门的概念是不同的。预置门是指同时启动或停止标准频率信号 计数器和被测信号计数器的门控信号。预置门的概念用于等精度频率周期测量中,并称预置 门的时间宽度为预置门时间。 长春工程学院毕业设计(论文) 8 3 等精度频率计硬件设计 3.1 键盘控制模块 按键接口电路,因为按键数量较少,所以采用独立式按键结构。每个按键各接一根输入线, 从而使一根线上按键的工作状态不会影响其它线上的工作状态。八个按键分别为测频、测周 期、测占空比、测脉宽、自校五个功能键和 0.1 秒、1 秒、10 秒三个时间按键。10K 的电阻 接低电平,当扫描到某一位为高电平时表示有按键按下。键盘控制电路如图 3-1 所示。 EA/VPP 31 XTAL1 19 XTAL2 18 RST 9 P3.7(RD) 17 P3.6(WR) 16 P3.2(INT0) 12 P3.3(INT1) 13 P3.4(T0) 14 P3.5(T1) 15 P1.0 1 P1.1 2 P1.2 3 P1.3 4 P1.4 5 P1.5 6 P1.6 7 P1.7 8 (AD0)P0.0 39 (AD1)P0.1 38 (AD2)P0.2 37 (AD3)P0.3 36 (AD4)P0.4 35 (AD5)P0.5 34 (AD6)P0.6 33 (AD7)P0.7 32 (A8)P2.0 21 (A9)P2.1 22 (A10)P2.2 23 (A11)P2.3 24 (A12)P2.4 25 (A13)P2.5 26 (A14)P2.6 27 (A15)P2.7 28 PSEN 29 ALE/PROG 30 (TXD)P3.1 11 (RXD)P3.0 10 GND 20 VCC 40 IC1AT89C51 12 Y1 12M 30 C4 30 C5 R31 10k R32 10k R33 10k R34 10k R35 10k R36 10k R37 10k R38 10k p1.0 p1.1 p1.2 p1.3 p1.4 p1.5 p1.6 p1.7 k1 k2 k3 k4 k5 k6 k7 k8 VCC 图 3-1 键盘控制模块原理图 3.2 显示模块 8 位 8 段 LED 采用共阳极接法,显示方式为静态显示,静态显示方式显示亮度较高,而 且显示状态稳定。根据实际亮度需求每段 LED 接 5K 的限流电阻。AT89C51 的 P3.0 口接数 据输出线,数据经过 8 片串入并出 74HC595 以串行方式送入 LED(数据最右端串行移入), 每片 74HC595 驱动一只 LED。P3.1 为串行移位时钟线。P3.2 为数据封锁线。测试结果输出 显示模块如图 3-2 所示。 长春工程学院毕业设计(论文) 9 EA/VPP 31 XTAL1 19 XTAL2 18 RST 9 P3.7(RD) 17 P3.6(WR) 16 P3.2(INT0) 12 P3.3(INT1) 13 P3.4(T0) 14 P3.5(T1) 15 P1.0 1 P1.1 2 P1.2 3 P1.3 4 P1.4 5 P1.5 6 P1.6 7 P1.7 8 (AD0)P0.0 39 (AD1)P0.1 38 (AD2)P0.2 37 (AD3)P0.3 36 (AD4)P0.4 35 (AD5)P0.5 34 (AD6)P0.6 33 (AD7)P0.7 32 (A8)P2.0 21 (A9)P2.1 22 (A10)P2.2 23 (A11)P2.3 24 (A12)P2.4 25 (A13)P2.5 26 (A14)P2.6 27 (A15)P2.7 28 PSEN 29 ALE/PROG 30 (TXD)P3.1 11 (RXD)P3.0 10 GND 20 VCC 40 IC1 AT89C51 12 Y1 12M 30 C4 30 C5 A 9 f 6 g 7 e 5 d 4 c 3 DP 8 b 2 a 1 led1 15 1 2 3 4 5 6 7 9 16 14 11 10 12 13 8 00 01 02 03 04 05 E GND RCLK SRCLR SRCLK SER Q7 06 07 Vcc 74HC595 cf1 104 VCC cf2 104 cf3 104 cf4 104 A 9 f 6 g 7 e 5 d 4 c 3 DP 8 b 2 a 1 led2 15 1 2 3 4 5 6 7 9 16 14 11 10 12 13 8 00 01 02 03 04 05 E GND RCLK SRCLR SRCLK SER Q7 06 07 Vcc 74HC595 A 9 f 6 g 7 e 5 d 4 c 3 DP 8 b 2 a 1 led3 15 1 2 3 4 5 6 7 9 16 14 11 10 12 13 8 00 01 02 03 04 05 E GND RCLK SRCLR SRCLK SER Q7 06 07 Vcc 74HC595 A 9 f 6 g 7 e 5 d 4 c 3 DP 8 b 2 a 1 led4 15 1 2 3 4 5 6 7 9 16 14 11 10 12 13 8 00 01 02 03 04 05 E GND RCLK SRCLR SRCLK SER Q7 06 07 Vcc 74HC595 VCC VCCVCCVCC cf5 104 VCC 图 3-2 显示模块原理图 3.3 主控模块 3.3.1 AT89C51 单片机简介 AT89C51 是一种带 4K 字节闪烁可编程可擦除只读存储器(FPEROMFlash Programmable and Erasable Read Only Memory)的低电压,高性能 CMOS8 位微处理器,俗称 单片机。AT89C2051 是一种带 2K 字节闪烁可编程可擦除只读存储器的单片机。单片机的可 擦除只读存储器可以反复擦除 100 次。该器件采用 ATMEL 高密度非易失存储器制造技术制 造,与工业标准的 MCS-51 指令集和输出管脚相兼容。由于将多功能 8 位 CPU 和闪烁存储器 组合在单个芯片中,ATMEL 的 AT89C51 是一种高效微控制器,AT89C2051 是它的一种精简 长春工程学院毕业设计(论文) 10 版本。AT89C51 单片机为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。 1 AT89C51 是一款采用 ATMEL 高密度非易失存储器制造技术制造的,与工业标准的 MCS-51 指令集和输出管脚相兼容的单片机,其主要性能特点如下所述: (1)片内集成 4KB 可编程闪烁存储器,可进行 1000 次以上写/擦循环操作,数据保留时 间可长达 10 年,支持三级程序存储器锁定。 (2)丰富强大的外部接口性能:32 可编程 I/O 线,可编程串行通道,片内振荡器和时钟 电路。 3.3.2 EPM7128 简介 EPM7128 是可编程的大规模逻辑器件,为 ALTERA 公司的生产的建立在多阵列结构基 础上的高性能可编程逻辑器件 MAX7000 系列产品,其内部具有符合 IEEE11491 标准的 JTAG 接口用于在线下载和修改程序,具有阻抗高,电可擦等特点,可用门单元为 2500 个, 128 个宏单元,8 个逻辑阵列块,管脚间最大迟延为 5ns,工作电压为+5V。EPM7128SLC84 具 有 68 个用户可使用 I/O 接口。 CPLD 具有高集成度,高可靠性,几乎可将整个设计系统下载在同一块芯片中。实现所谓 片上系统,从而大大缩小设计产品的体积,具有可编程和实现方案容易改动的特点。CPLD 的 逻辑功能模块包括 32 位计数器,数据选择器和 D 触发器等逻辑模块,各逻辑模块用硬件描 述语言 VHDL 来描述其功能。 7 3.3.3 等精度频率计系统原理 该模块主要完成单片机对 CPLD 的控制。单片机 P2 口的 8 根口线为控制信号线,具体控 制功能如下: P2.0(END):脉宽计数结束状态信号,END=1 计数结束。 P2.1(TF):TF=0 时等精度测频,TF=1 时测脉宽。 P2.2(CHOISE):自较/测频选择,CHOISE=1 测频,CHOISE=0 自较。 P2.3(START):当 TF=0 时,作为预置门闸,门宽由键盘输入值决定,START=1 时预置 门打开,START=0 时关门;当 TF=1 时,START 有第二功能,此时,当 START=0 时测负脉宽, 当 START=1 时测正脉宽,利用此功能可分别获得脉宽和站空比数据。 长春工程学院毕业设计(论文) 11 P2.4(CLR/TRIG):当 TF=0 时系统全清零功能,当 TF=1 时 CLR/TRIG 的上升沿将启动 COUNT2,进行脉宽计数。 P2.5(EEND):等精度测频计数结束状态信号,EEND=0 时计数结束。 P2.6(ADRA);P2.7(ADRB):计数值读出选通控制。若令 AD=ADRA,ADRB,则当 AD=00,01,10,11 时可从 P0 口和 P2 口由低 8 位至高 8 位分别读出两组 4 个 8 位计数值。 等精度频率计系统原理图如图 3-3 所示。 EA/VPP 31 XTAL1 19 XTAL2 18 RST 9 P3.7(RD) 17 P3.6(WR) 16 P3.2(INT0) 12 P3.3(INT1) 13 P3.4(T0) 14 P3.5(T1) 15 P1.0 1 P1.1 2 P1.2 3 P1.3 4 P1.4 5 P1.5 6 P1.6 7 P1.7 8 (AD0)P0.0 39 (AD1)P0.1 38 (AD2)P0.2 37 (AD3)P0.3 36 (AD4)P0.4 35 (AD5)P0.5 34 (AD6)P0.6 33 (AD7)P0.7 32 (A8)P2.0 21 (A9)P2.1 22 (A10)P2.2 23 (A11)P2.3 24 (A12)P2.4 25 (A13)P2.5 26 (A14)P2.6 27 (A15)P2.7 28 PSEN 29 ALE/PROG 30 (TXD)P3.1 11 (RXD)P3.0 10 GND 20 VCC 40 IC1 AT89C51 12 Y1 12M 30 C4 30 C5 IO 6 IO 8 IO 9 IO 10 IO 11 IO 12 IO 4 IO 5 IO 15 IO 16 IO 17 IO 18 IO 20 IO 21 IO 22 IO 24 IO 25 IO 27 IO 28 IO 29 IO 30 IO 31 IO 33 IO 34 IO 35 IO 36 IO 37 IO 39 IO 40 IO 41 IO 44 IO 45 IO 46 IO 48 IO 49 IO 50 IO 51 IO 52 IO 54 IO 55 IO 56 IO 57 IO 58 IO 60 IO 61 IO 63 IO 64 IO 65 IO 67 IO 68 IO 69 IO 70 IO 73 IO 74 IO 75 IO 76 IO 77 IO 79 IO 80 IO 81 GNDINT 42 GNDINT 82 GNDIO 7 GNDIO 19 GNDIO 32 GNDIO 47 GNDIO 59 GNDIO 72 VCCINT (5.0 V ONLY) 3 VCCINT (5.0 V ONLY) 43 VCCIO (3.3 V OR 5.0 V) 13 VCCIO (3.3 V OR 5.0 V) 26 VCCIO (3.3 V OR 5.0 V) 38 VCCIO (3.3 V OR 5.0 V) 53 VCCIO (3.3 V OR 5.0 V) 66 VCCIO (3.3 V OR 5.0 V) 78 INPUT/GCLK1 83 INPUT/GCLRn 1 INPUT/OE1 84 INPUT/OE2/GCLK2 2 TDI/IO 14 TDO/IO 71 TCK/IO 62 TMS/IO 23IC3 图 3-3 等精度频率计系统原理图 3.4 信号输入放大和整形模块 输入待测信号经过 LM358 放大后又经过 74HC14 形成系统需要的矩形波,然后送入复杂 可编程逻辑器件(CPLD) 。该部分电路的功能主要是完成对弱信号的放大和强信号的限幅处 理,并将各种形式的被测模拟信号整形为适合单片机处理的数字脉冲信号。信号输入整形模块 原理图如图 3-4 所示。 长春工程学院毕业设计(论文) 12 1 2 3 4 8 7 6 5 AOUI AIN- AIN+ GND Vcc BIN- BIN+ BOUI LM35S IC2 IO 6 IO 8 IO 9 IO 10 IO 11 IO 12 IO 4 IO 5 IO 15 IO 16 IO 17 IO 18 IO 20 IO 21 IO 22 IO 24 IO 25 IO 27 IO 28 IO 29 IO 30 IO 31 IO 33 IO 34 IO 35 IO 36 IO 37 IO 39 IO 40 IO 41 IO 44 IO 45 IO 46 IO 48 IO 49 IO 50 IO 51 IO 52 IO 54 IO 55 IO 56 IO 57 IO 58 IO 60 IO 61 IO 63 IO 64 IO 65 IO 67 IO 68 IO 69 IO 70 IO 73 IO 74 IO 75 IO 76 IO 77 IO 79 IO 80 IO 81 GNDINT 42 GNDINT 82 GNDIO 7 GNDIO 19 GNDIO 32 GNDIO 47 GNDIO 59 GNDIO 72 VCCINT (5.0 V ONLY) 3 VCCINT (5.0 V ONLY) 43 VCCIO (3.3 V OR 5.0 V) 13 VCCIO (3.3 V OR 5.0 V) 26 VCCIO (3.3 V OR 5.0 V) 38 VCCIO (3.3 V OR 5.0 V) 53 VCCIO (3.3 V OR 5.0 V) 66 VCCIO (3.3 V OR 5.0 V) 78 INPUT/GCLK1 83 INPUT/GCLRn 1 INPUT/OE1 84 INPUT/OE2/GCLK2 2 TDI/IO 14 TDO/IO 71 TCK/IO 62 TMS/IO 23 IC3 D1 414s D2 414s 10F C7 10F CS VCC 1 2 J2 R6 1201W2 101 R4 101 2K R3 2K R2 R5 101 VCC 2200 C6 W1 100 R7 1201 2 1 IC4A 74HC14 图 3-4 信号输入整形模块原理图 3.5 音频输出电路 在测量按键时有语音,告诉我们正在操作,运行中。 VCC Q1 2NS102 YD RS 417 YD 图 3-5 音频电路图 长春工程学院毕业设计(论文) 13 3.6 CPLD 功能模块描述 CPLD 功能模块的内部结构包括三个实体 CONTRL 和 COUNT。CONTRL 内部主要是一 个受待测频率同步的 D 触发器.在门控信号 FTEST 为高电平时,将标准频率 FS 输出到 CLKFS 端,FTEST 为低电平时,CLKFS 为 0,测量原理波形图如图 3-6 所示。 图 3-6 测量原理波形图 长春工程学院毕业设计(论文) 14 4 等精度频率计软件设计方案 4.1 VHDL 语言 4.1.1 VHDL 语言优点 传统的硬件电路设计方法是采用自下而上的设计思想,即依据系统对硬件的要求,详细 编制技术规格书,并画出系统控制流程图;然后根据技术规格书和系统控制流程图,对系统 的功能进行细化,合理地划分功能模块,并画出系统的功能框图;接着就着手进行各功能模 块的细化和电路设计;各功能模块电路的设计、调试完成后,将各功能模块的硬件电路连接 起来再进行系统的总体调试,最后完成整个系统的硬件设计。采用传统方法设计数字系统, 特别是当电路系统非常复杂时,设计者必须具备较丰富的设计经验,而且繁杂多样的原理图 的阅读和修改也给设计者带来了诸多的烦恼。为了提高开发的效率,增加已有开发成果的可 继承性以及缩短开发周期,各 ASIC 研制和生产厂家都相继开发了具有自己特色的电路硬件 描述语言(Hardware Description Language,简称 HDL)。但这些硬件描述语言有很大的差异, 各自只能在自己的特定设计环境中使用,这给设计者之间的相互交流带来了很大的困难。因 此,开发一种强大的、标准化的硬件描述语言作为可互相交流的设计环境,已经势在必行。 于是,美国于 1981 年提出了一种新的、标准化的 HDL,称之为 VHSIC(Very High Speed Integrated Circuit) Hardware Description Language,简称 VHDL。这是一种用形式化方法来 描述数字电路和设计数字逻辑系统的语言。设计者可以运用这种语言来描述自己的设计思想, 然后利用电子设计自动化工具进行编译,仿真,再自动综合到门级电路,最后用 PLD 实现其 功能。 综合起来讲,VHDL 语言具有如下优点: 覆盖范围广泛,描述能力极强,是一个多层次的硬件描述语言。在 VHDL 语言中,设计 的原始描述可以非常简练,经过层层加强后,最终可成为直接付诸生产的电路或版图参数描 述。 具有良好的可读性,即容易被计算机读取,也容易被读者理解。 使用期长,不会因工艺变化而使描述过时。因为 VHDL 的硬件描述与工艺无关,当工艺 改变时,只需要修改相应程序中的属性参数就可以了。 支持大规模设计的分解和已有设计的再利用。一个大规模的设计不可能由一个人独立完 长春工程学院毕业设计(论文) 15 成,必须由几个人共同承担,VHDL 为设计的分解和设计的再利用提供了有力的支持。 4.1.2 采用 VHDL 语言设计系统的特点 当电路系统采用 VHDL 语言设计其硬件时,与传统的电路设计方法相比较,具有如下的 特点: 1) 采用自上而下的设计思想。即能够从系统总体要求出发,自上而下地逐步将设计的 内容细化,最后完成系统硬件的整体设计。 2) 系统可大量采用 PLD 芯片。由于目前很多制造 PLD 芯片的厂家,他们开发的工具软 件均支持 VHDL 语言的编程。所以利用 VHDL 语言设计数字系统时,可以从硬件电路的设 计的需要出发,自行利用 PLD 设计自用的 ASIC 芯片,而无须受通用元器件的限制。 3)采用系统早期仿真。从而可以在系统设计的早期发现设计中存在的具体问题,大大 缩短系统设计的所需要的时间,节约大量的人力和物力,财力。 4)降低了硬件电路设计难度。在传统的设计方法中,往往要求设计者在设计电路之前, 首先写出该电路的逻辑表达式或真值表(或时序电路的状态表)。这一工作是相当困难和繁 杂的,特别是当系统比较复杂时更是如此。而利用 VHDL 语言设计硬件电路时,就可以使设 计者免除编写逻辑表达式或真值表之烦恼,从而大大降低了设计的难度,也在很大程度上缩 短了设计的周期。 4.2 VHDL 软件设计方案 VHDL(VHSIC Hardware Description Language)即超高速集成电路硬件描述语言。若按传 统的设计方法,完成这个频率计需用上述的四种器件共计十几块芯片构成,不仅体积大,而 且因外接引脚多,影响可靠性。而采用 EDA 技术,整个设计分仅两步:第一步,在 MAX+PLUS开发工具中,先用 VHDL 语言分别编写出以上四种器件的文本文件(称为底层 文件),并将它们分别转换成相应的器件,然后分别进行时序仿真,使每个器件的时序仿真结 果与设计要求一致;第二步,将这四种器件共十几块芯片按电路设计图连接起来,形成顶层 文件后进行整个系统的综合,并将整个频率计作为一个器件进行时序仿真。下面分步给出设 计过程。 5 4.3 所需 VHDL 文件及波形仿真结果 1. 波形仿真见图 4-1 所示: 长春工程学院毕业设计(论文) 16 图 4-1 带时钟使能十进制计数器的波形仿真图 2. 仿真波形见图 4-2 所示: 图 4-2 测频控制信号发生器波形仿真图 3. 波形仿真如图 4-3 所示: 图 4-3 7段显示译码器的波形仿真图 4.顶层文件的编写 在以上四个器件正确设计的基础上,再按设计原理图的要求将这四种器件共十几块芯片 连接起来,形成顶层文件。常用的方法是将顶层文件编成电路图的形式,进行综合仿真。这 种方法虽然较简单,但缺点是有可能使电路系统工作中出现“毛刺”,从而降低系统的可靠 性。因此,在我们的设计中最突出的地方是不用电路图的形式编写顶层文件,而是用文本形 式来编写,即用 VHDL 语言来描述十几块芯片的连接,避免了系统在工作中出现“毛刺”现 象,使系统的稳定度和可靠性均得到提高。 长春工程学院毕业设计(论文) 17 以上程序经综合仿真后,结果符合设计要求。原来需要十几块芯片组成的频率计,现在 只用一块芯片即可实现。 4.4 单片机的汇编语言编程 本系统软件的功能,它主要完成各种实质性的功能,如测量、计算、显示、定时中断服务、 键盘中断服务等。 4.4.1 主程序设计 系统初始化后,调显示,调按键,不断扫描键盘子程序,当代键按下时,程序跳转到相 应的子程序执行其功能,然后返回继续执行键盘扫描主程序。主程序流程图如图4-4所示。 18 长春工程学院毕业设计(论文) 18 开始 给给个个存存储储单单元元设设 初初值值 设置To、T1置初 值 开中断 设置堆栈指针 启动TO、T1 调显示 调按键 图 4-4 主程序流程图 4.4.2 显示程序设计 为提高单片机的计算速度以及降低数码显示器对主系统的干扰,采用串行静态显示方式, 8 个 74HC595、8 个共阳 LED 数码管构成显示器。该系统软件采用 MCS-51 汇编编程,显示 程序流程图如图 4-5 所示 。 长春工程学院毕业设计(论文) 19 图4-5 显示部分程序流程图 4.4.3 按键程序设计 键盘设有三个时间值键,分别为0.1s , 1s和10s,来控制预置门的开关时间。在执行功能 子程序之前会提示先输入时间值。还有五个功能键,分别为测频率、周期、脉宽、占空比、 自较正。按键部分流程图如4-6所示。 长春工程学院毕业设计(论文) 20 图4-6 按键部分程序流程图 长春工程学院毕业设计(论文) 21 5 电路系统调试 在电路设计中采用模块设计法,各电路模块进行单独设计和调试,最后将各模块组合后, 进行整体调试。 数字测量部分采用 EDA 设计工具设计,采用硬件描述语言 VHDL 语言进行描述,用 MAXplus II 进行仿真。仿真波形图如 5-1 所示: 图 5-1 频率计测控时序 BCLK 为标准信号频率,TCLK 为待测信号频率,CL 为预置门控信号,由单片机发出, CLR 为清零信号。测频开始前,首先发出一个清零信号 CLR,使两个计数器和 D 触发器置 零。然后由单片机发出允许测频命令,即令 CL 为高电平,这时 D 触发器要一直等到被测信 号的上升沿通过 Q 端才被置 1,与此同时,计数器开始记数,进入图 5-1 所示的记数允许周 期。当 Tpr 秒后,预置门信号被单片机置为低电平,但此时两个计数器仍然没有停止记数, 一直要等到随后而至的被测信号的上升沿到来时,才通过 D 触发器将这两个计数器同时关闭。 长春工程学院毕业设计(论文) 22 6 结论 随着现代技术的发展,对于频率的测量的准确度要求越来越高。现代频率测量的特点: 测量精度高,之所以在所有物理量中处于领先地位,主要原因为频率是迄今为止复制的最准 确的(级)保持的最稳定而且测量得最为准确的物理量。因而可以利用某种确定的函数关系 把其他参数的精确读转换成频率的测量。 在被测信号中, 较多的是模拟和数字开关信号, 此外还经常遇到以频率为参数的测量信 号, 例如流量、转速、晶体压力传感器以及经过参变量-频率转换后的信号等等。对于这些 以频率为参数的被测信号, 通常多采用的是测频法或测周法。即当被测信号频率较高时采用 测频法, 当被测信号频率较低时则采用测周法。对于频率变化较小的被测信号, 采用测频或 测周法的效果很满意, 但当被测信号频率变化范围较大时, 比如 100Hz100kHz, 采用上述 方法就很难满足在整个频率变化范围内都达到所要求的测量精度。 传统的数字频率计具有 8 位或更多位的数码显示位数。这些频率计在测量高频信号时能 够达到足够高的测量精度,但在测量低频信号时,其测量

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论