基于MATLAB的FPGA音频信号处理系统的研究与实现资料_第1页
基于MATLAB的FPGA音频信号处理系统的研究与实现资料_第2页
基于MATLAB的FPGA音频信号处理系统的研究与实现资料_第3页
基于MATLAB的FPGA音频信号处理系统的研究与实现资料_第4页
基于MATLAB的FPGA音频信号处理系统的研究与实现资料_第5页
已阅读5页,还剩8页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、基于MATLAB的FPGA音频信号处理系统的研究与实现 研究目的和意义国内外研究现状主要研究内容方案选择及框图DSP Builder 介绍目录1.目的 对音频进行处理,满足人们追求高保真、高质量音频信号的需求。2.意义 随着人们生活水平的不断提高,对音频通信传输的需求越来越大,所以如何较好的去除噪声信号,还原原始信号这是研究本课题的意义所在。1、研究的目的和意义1992199420002004Mallat使用lipschitz指数建立基于多尺度上的音频信号和图像信号噪声数学模型Donoho提出通过小波系数阈值化处理可以基本上去除噪声,并给出平移不变去噪法Chang提出新的去噪方法,即空域自适应

2、小波阈值去噪Chen提出一种新的基于图像邻域小波系数的去噪方法2006Maarten提出重噪声最小风险阈值去噪至今国内外学者研究改进新的去噪、增强算法2、国内外研究现状 在进行音频系统需求分析的基础上,制定音频数据采集系统的方案,完成其硬件结构设计; 研究小波去噪的相关理论,包括小波去噪的原理及其过程、小波去噪的方法小波阈值去噪法和谱减法语音去噪,讨论小波阈值去噪法及其关键的小波基、阈值和阈值函数的选取问题,对于不同的方法进行效果分析与比较,根据具体应用确定使用方案;3、主要研究内容3.研究MATLAB/Simulink的使用方法,研究DSP Builder硬件电路模块的算法实现方法。利用AL

3、TERA公司的FPGA进行DSP Builder的模块电路设计,实现小波去噪和语音增强的功能; 研究FPGA的NIOS II软核处理器,由其完成系统的运算工作,并控制系统的工作状态。3、主要研究内容传统数字音频系统的结构图4、方案选择及框图本文设计音频处理系统流程:输出增强去噪采集4、方案选择及框图音频去噪模块:去噪技术对于非平稳的宽带信号,用谱减法噪声是平稳随机过程的,用维纳滤波法傅里叶变换,时域的瞬息变化在频域不能反映小波去噪法,可以克服傅里叶变换的缺点4、方案选择及框图系统拟采用的是bior4.4小波分解和重构选取小波基bior4.4分解和重构得低、高通滤波器的系数系数取整归一化,构建滤波器DSP Builder 建立bior4.4分解和重构单元,经综合编译转为VHDL文件,可在Quartus 进行仿真4、方案选择及框图音频增强模块感知小波包变换自适应噪声估计时间自适应软阈值处理感知小波包

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论