基于vhdl汉字点阵动态显示系统的设计与实现说明书.doc_第1页
基于vhdl汉字点阵动态显示系统的设计与实现说明书.doc_第2页
基于vhdl汉字点阵动态显示系统的设计与实现说明书.doc_第3页
基于vhdl汉字点阵动态显示系统的设计与实现说明书.doc_第4页
基于vhdl汉字点阵动态显示系统的设计与实现说明书.doc_第5页
已阅读5页,还剩41页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

长沙理工大学计算机组成原理课程设计报告 殷慧明 学 院 计算机与通信工程 专 业 网络工程 班 级 网络工程08-02 学 号 200858080218 学生姓名 殷慧明 指导教师 蔡烁 课程成绩 完成日期 2010年12月31日课程设计任务书 计算机与通信工程学院 网络工程专业 课程名称计算机组成原理课程设计时间20102011学年第一学期1718周学生姓名殷慧明指导老师蔡烁题 目基于VHDL的汉字点阵动态显示系统的设计与实现 主要内容:随着世界和我国经济的高速发展,在公共场所动态显示信息已相当显眼,利用LED点阵滚动显示汉字的出现正好适应了这一市场需求,已经成为信息传播的一种重要手段。采用传统方法设计的汉字滚动显示器尽管有单片机软件的支持较为灵活,但是由于受硬件资源的限制,未来对设计的变更和升级,总是难以避免要付出较多研发经费和较长投放市场周期的代价。随着 电子设计自动化技术的进展, 可编程FPGA器件进行系统芯片集成的新设计方法,也正在快速地到代基于PCB板的传统设计方式。因此,本文主要阐述 VHDL语言实现在16*16点阵上的汉字滚动显示问题。 要求:(1)通过对相应文献的收集、分析以及总结,给出相应课题的背景、意义及现状研究分析。(2)通过课题设计,掌握计算机组成原理的分析方法和设计方法。(3)学按要求编写课程设计报告书,能正确阐述设计和实验结果。(4)学生应抱着严谨认真的态度积极投入到课程设计过程中,认真查阅相应文献以及实现,给出个人分析、设计以及实现。应当提交的文件:(1)课程设计报告。(2)课程设计附件(主要是源程序)。课程设计成绩评定学 院 计算机通信工程 专 业 网络工程 班 级 网络08-02 班 学 号 200858080218 学生姓名 殷慧明 指导教师 蔡烁 课程成绩 完成日期 2010年12月31日指导教师对学生在课程设计中的评价评分项目优良中及格不及格课程设计中的创造性成果学生掌握课程内容的程度课程设计完成情况课程设计动手能力文字表达学习态度规范要求课程设计论文的质量指导教师对课程设计的评定意见综合成绩 指导教师签字 年 月 日目录摘要1关键词1Abstract1Key words 1引言11 设计方案1.1 方案一21.2 方案二21.3 方案比较32 扫描控制模块2.1 LED点阵原理32.2 汉字的存储42.3 汉字的显示52.4 滚动速度的控制63 VHDL语言程序设计3.1扫描频率控制的部分关键程序 63.2单个汉字扫描的部分关键程序 73.3汉字滚动速度控制的部分关键程序 84 系统调试4.1开发环境介绍 94.2调试、仿真与体会 105系统功能、指标参数 146 设计结论 14致谢 14 参考文献 14基于VHDL的汉字点阵动态显示系统的设计学生:殷慧明 指导老师:蔡烁 摘 要:主要研究基于VHDL的 Led点阵汉字滚动显示。首先描述了基于现场可编程门阵列(FPGA)的硬件电路,以及点阵显示汉字的原理;然后在单个16X16 LED发光二极管点阵上滚动汉字的原理;最后给出了描述其功能的VHDL语言程序设计方法。通过编程、调试、仿真、下载正确地实现了汉字滚动显示扫描结果,其硬件系统的实验验证也获得了与软件模拟仿真结论相吻合的结果。关键词:LED点阵;FPGA;VHDL语言;汉字滚动显示Design of Chinese characters scrolling display on LED dot matrix based on VHDL Electronic Information Science and Technology Zhang deyingInstructor Wang xinshuiAbstract:The design of this subject mainly researches Chinese characters scrolling display on LED dot matrix screen based on FPGA and VHDL . This article firstly describes the hardware circuit based on logic cell array,as well as the principle of Chinese characters display on LED dot matrix .Finally,the article gives the method of VHDL design which describes the function.This design realizes the results of Chinese characters scrolling and scanning correctly by programming ,debugging ,sinulation and download ,Also the experimintal verificattion of hardware systerns has received the conclusions in accordance with the results of software simulation .Key words:LED dot matrix;FPGA;VHDL;Chinese characters scrolling display引言随着我国经济的高速发展,对公共场合发布信息的需求日益增长,利用LED点阵滚动显示汉字的出现正好适应了这一市场需求,已经成为信息传播的一种重要手段。采用传统方法设计的汉字滚动显示器,通常需要使用单片机、存储器和制约逻辑电路来进行PCB板级的系统集成。尽管这种方案有单片机软件的支持较为灵活,但是由于受硬件资源的限制,未来对设计的变更和升级,总是难以避免要付出较多研发经费和较长投放市场周期的代价。随着电子设计自动化(EDA)技术的进展,基于可编程FPGA器件进行系统芯片集成的新设计方法,也正在快速地到代基于PCB板的传统设计方式。因此,本文主要阐述基于FPGA和VHDL语言实现在16*16点阵上的汉字滚动显示问题。1 设计方案1.1 方案一本文系统的LED点阵模块,共由1616=256个LED发光二极管组成。如何在该点阵模块上显示汉字是本文设计的关键技术。本文系统设计是采用一种16路动态分时扫描技术来实现的。具体方法是,将1616数组的显示模块的行输入端与FPGA内的只读存储器ROM的16位数据输出端口相连;16个列控制端与一个4-16译码器A的输出相连;而译码器A的输入端和片选信号又与FPGA内的列扫描控制模块的输出端口scan4-scan0相连。图1.1为方案的结构框图。1.2 方案二VHDL程序设计的是硬件,他和编程语言的最大区别是它可以“并发执行”。本设计可以将LED显示屏要的显示内容抽象成一个二维数组(数组中的1对映点阵显示屏上面的亮点),用VHDL语言设计一个进程将这个数组动态显示在LED显示屏上,再利用另一个进程对这个数组按一定频率进行数据更新,更新的方式可以有多种。因为两个进程是同时进行的(并发执行),如果对数组中的汉字数据按滚动的方二维数组动态显示图1.2 方案二的原理框图更新数组数据式更新,则可实现汉字的滚动显示。图1.2为该方案的原理图。1.3 方案比较方案一很容易实现,而且占用FPGA的资源较少。但是由于其实现方式的局限性,该方案只能实现汉字的滚动显示。方案二中将LED点阵抽象成了一个二维数组。可以设计一些比较复杂的算法来控制这个数组,使设计的系统不但可以滚动显示汉字,还可以扩展一些其它的显示效果。但是方案二中对数组的处理部分对FPGA芯片的资源消耗太大学校实验室里的EPF10K10LC84-4芯片只有576个逻辑单元远远不够设计要求。所以最终选择方案一。2 扫描控制模块2.1 LED点阵原理以下为1616点阵LED外观及引脚图及其等效电路,只要其对应的X、Y轴顺向偏压,即可使LED发亮。例如如果想使左上角LED点亮,则Y0=1,X0=0即可。应用时限流电阻可以放在X轴或Y轴。图2.1 1616点阵LED外观图图2.2 1616点阵LED等效图点阵LED扫描法介绍:点阵LED一般采用扫描式显示,实际运用分为三种方式: (1)点扫描(2)行扫描(3)列扫描若使用第一种方式,其扫描频率必须大于1664=1024Hz,周期小于1ms即可。若使用第二和第三种方式,则频率必须大于168=128Hz,周期小于7.8ms即可符合视觉暂留要求。此外一次驱动一列或一行(8颗LED)时需外加驱动电路提高电流,否则LED亮度会不足。2.2 汉字的存储用动态分时扫描技术使LED点阵模块显示图像,需要进行两步工作。第一步是获得数据并保存,即在存贮器中建立汉字数据库。第二步是在扫描模块的控制下,配合行扫描的次序正确地输出这些数据。获得图像数据的步骤是,先将要显示的每一幅图像画在一个如图3.3所示的被分成1616共256个小方格的矩形框中,再在有笔划下落处的小方格里填上“1”,无笔划处填上“0”,这样就形成了与这个汉字所对应的二进制数据在该矩形框上的分布,再将此分布关系以3216的数据结构组成64个字节的数据,并保存在只读存贮器ROM中。以这种方式将若干个汉字的数据贮存在存贮器内,就完成了图像数据库的建立工作。图3.3 1616LED点阵模块然后,依次对多汉字抽取像素信息,并按序排队存放起来,便可得到一个待显示数据序列。将这个序列存到ROM中进一步通过寻址的方法来控制该数据序列的释放过程,就可实现在LED 发光二极管点阵上滚动显示多汉字信息的目的。由图2.3可知,某一时刻能在显示数据序列中定位待显示数据的地址指针可用下式计算: addr=n+m (式2.2.1)图2.3 滚动显示多汉字信息的原理示意图2.3 汉字的显示第二步工作的步骤是:先在扫描模块的控制下,由地址线确定每次由ROM送出某一列的16个LED所要显示的汉字的控制字节数据,同时由扫描模块输出的5位扫描码经两个4-16译码器解码后决定相应的某一列可以被点亮,而另外31列都不能被点亮。该状态持续约0.4毫秒后,就接着进行下一行的扫描。当完成了一次32行的扫描后,也就完成了一帧画面的显示。重复上述过程不断修改ROM的地址区间的起始地址,转向下一幅画面的数据传送和显示。如此进行,就可以在LED点阵模块上滚动显示ROM中存储的汉字。2.4 滚动速度的控制将LED点阵看成一个滑窗。通过这个“滑窗”每次能“看到”32个存储单元(2个汉字长度)的信息。只读存储器ROM中链式的存放了若干个汉字的点阵信息,“滑窗”在这若干个汉字点阵信息上面滑动,我们通过“滑窗”看到的就是汉字的滚动显示。在用VHDL编程的时候,可以定义一个变量x作为“滑窗”在ROM上的起始地址,设计一个进程按一定的频率对x进行累加,再设计一个进程将以x为起始地址的长度为32的ROM中的区域动态显示在LED点阵中。显然x累加的速度决定了汉字的滚动速度。此进程根据定义的信号n来控制x递增的速度,n由外部的按键控制。n的范围为07代表了汉字的不同的滚动速度。当n为0时汉字滚动的速度最快,n为7时LED点阵上显示的汉字静止。3 VHDL语言程序设计3.1扫描频率控制的部分关键程序process(clk) -显示时序控制 begin if clkevent and clk=1 then -上升沿 dount=dount+1;-计数累加 if dount=255 then if S=15 then S=0000;-S控制单个汉字扫描周期 else S=S+1; end if; S=S+1; else S=S; end if; if cdount15 then -控制列扫描频率 cdount=cdount+1; else cdountkeyckeyckeyckeyckeyckeyckeyckeyckeyckeyckeyckeyckeyckeyckeyckeyckeyckeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyr=1111111111111111; end case; end if; end process;-结束进程,各个进程之间是并发执行的3.3 汉字滚动速度控制的部分关键程序process(reset_n,clk_scan,flag_scan) -x的控制进程variable cntx:integer range 0 to 50;beginif(reset_n=0)thencntx:=0;x=0;elsif(rising_edge(clk_scan)thenif(flag_scan=1)thenif(cntx=n8 or cntx=cntxhigh)then - n由外部的按键控制,通过n来控制cntx的计数范围cntx:=0;elsecntx:=cntx+1;end if;if(cntx=n8)then -通过n来控制x的增加if(x=xhigh)thenx=0;elsex=x+1;end if;end if;end if;end if;end process;此进程根据定义的信号n来控制x递增的速度,n由外部的按键控制。n的范围为07代表了汉字的不同的滚动速度。当n为0时汉字滚动的速度最快,n为7时LED点阵上显示的汉字静止。4 系统调试与仿真4.1 开发环境介绍Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、Veril-ogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。此外,Quartus II 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。Maxplus II 作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。目前Altera已经停止了对Maxplus II 的更新支持,Quartus II 与之相比不仅仅是支持器件类型的丰富和图形界面的改变。Altera在Quartus II 中包含了许多诸如SignalTap II、Chip Editor和RTL Viewer的设计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了Maxplus II 友好的图形界面及简便的使用方法。Altera Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。 Altera的Quartus II可编程逻辑软件属于第四代PLD开发平台。该平台支持一个工作组环境下的设计要求,其中包括支持基于Internet的协作设计。Quartus平台与Cadence、ExemplarLogic、 MentorGraphics、Synopsys和Synplicity等EDA供应商的开发工具相兼容。改进了软件的LogicLock模块设计功能,增添 了FastFit编译选项,推进了网络编辑性能,而且提升了调试能力。支持MAX7000/MAX3000等乘积项器件4.2 调试、仿真与体会4.2.1 创建工程在Quartus II 中新建一个VHDL File文件,将vhdl代码输入这个文件,并保存到工作目录,名为ledarray.vhd。利用new preject wizard 工具创建一个工程,工程名为ledarray,顶层文件实体名为ledarray,并将上面创建的ledarray.vhd文件加入到工程中。G4.2.2 编译前设置(1)选择目标芯片。用assignmemts-settings命令,弹出settings对话框,选择目标芯片为EP2C5T144C8。图1 选择目标器件(2)选择工作方式,编程方式,及闲置引脚状态单击上图中的device&pin options按钮,弹出device&pin options窗口。 在General项中选中auto-restart configuration after error,使对FPGA的配置失败后能自动重新配置,并加入JTAG用户编码。 图2 选择配置器件工作方式在configuration项中,其下方的Generate compressed bitstreams处打勾,这样就能产生用于EPCS的POF压缩配置文件。在Configuration 选项页,选择配置器件为EPCS1,其配置模式选择为active serial。图3选择编程方式在Unused pins项,将目标器件闲置引脚状态设置高阻态,即选择As input,tri-stated。图4 设置闲置引脚状态4.2.3 全程编译设置好前面的内容之后,就可以进行编译了。选择Processing菜单中start compilation,在窗口的下方processing栏中显示编译信息。图5 全程编译成功完成完成后在工程管理窗口左是角显示了工程ledarray 的层次结构和其中结构模块耗用的逻辑宏单元数。此栏的右边是编译处理流程,包括数据网表建立、逻辑综合、适配、配置文件装配和时序分析等。4.2.4时序仿真(1)新建一个矢量波形文件,同时打开波形编辑器。设置仿真时间为50us,保存波形文件为ledarray.vwf。(2)将工程ledarray的端口信号名选入波形编辑器中,所选的端口有clk,及总线keyc和keyr。设置clk的时钟周期为2us,占空比为50%。图6 选择仿真控制仿真器参数设置。选择菜单Assignment中的Settings,在Settings窗口下选择Simulator,在右侧的simulation mode项下选择timing,即选择时序仿真,并选择仿真激励文件名ledarray.vwf。选择simulation options栏,确认选定simulation coverage reporting; 毛刺检测Glitch detection 为1ns 宽度;选中Run simulation until all vector stimuli 全程仿真。现在所有设置进行完毕,在菜单processing项下选择start simulation,直到出现simulation was successful,仿真结束 。仿真文件simulation report 通常会自动弹出,否则选择processingsimulation report 。图7 仿真波形输出Quartus II可以实现硬件描述语言或网表文件对应的RTL电路图的生成。选中Tools菜单中的Netlist 项,在出现的下拉菜单中有三个选项:RTL viewer,即HDL的RTL级图形观察器;Technology Map Viewer,即HDL对应的FPGA底层门级布局观察器;State Machine viewer,即HDL对应的状态机观察器。选择第一项可以打开ledarray工程的RTL电路图。图8 RTL电路图 程序调试的时候,要将整个系统程序分成不同的功能块进行调试,最后再加以整合。程序调试一定要细心,一定要有耐心,只有这样才能取得最后的成功。5系统功能、指标参数本实验要达到的最终目标是:在1616点阵上滚动显示出事先设定好的汉字,并设定一些功能键,在按下相应的键时,汉字的滚动速度以及方向可以调整,并可以显示一些特殊图案。6 设计总结本设计涉及到了硬件及软件的设计,在设计中能够将设计者所学的知识运用到实践中,在实践中锻炼了动手能力,并巩固了所学的课本知识,使设计者明白在实践中一定要细心,一定要认真,这样才能取得成功。 参考文献:1潘松,黄继业.EDA技术实用教程.-3版.-北京:科学出版社,20062王道宪.CPLD/FPGA可编程逻辑器件应用与开发.-北京:国防工业出版社,20043徐惠民,安德宁.数字逻辑设计与VHDL描述.北京:机械工业出版社,20024汤琳宝,陈恒,顺敏.可编程逻辑器件与数字系统设计.上海:上海大学出版社,20005褚振勇,翁木云.FPGA设计及应用.西安:西安电子科技大学出版社,20026袁文波,张皓,唐振中.FPGA应用开发从实践到提高.-北京:中国电力出版社,20077王金明,杨吉斌.数字系统设计与Verilog HDL.北京:电子工业出版社,20028徐志军,徐光辉.CPLD/FPGA的开发与应用.北京:电子工业出版社,20029王锁萍.电子设计自动化(EDA)教程.成都:成都电子科技大学出版社,2000 10曾繁泰,陈美金.VHDL程序设计.北京:清华大学出版社,200016大学本科生毕业设计(论文)撰写规范本科生毕业设计(论文)是学生在毕业前提交的一份具有一定研究价值和实用价值的学术资料。它既是本科学生开始从事工程设计、科学实验和科学研究的初步尝试,也是学生在教师的指导下,对所进行研究的适当表述,还是学生毕业及学位资格认定的重要依据。毕业论文撰写是本科生培养过程中的基本训练环节之一,应符合国家及各专业部门制定的有关标准,符合汉语语法规范。指导教师应加强指导,严格把关。1、论文结构及要求论文包括题目、中文摘要、外文摘要、目录、正文、参考文献、致谢和附录等几部分。1.1 题目论文题目应恰当、准确地反映论文的主要研究内容。不应超过25字,原则上不得使用标点符号,不设副标题。1.2 摘要与关键词1.2.1 摘要本科生毕业设计(论文)的摘要均要求用中、英两种文字给出,中文在前。摘要应扼要叙述论文的研究目的、研究方法、研究内容和主要结果或结论,文字要精炼,具有一定的独立性和完整性,摘要一般应在300字左右。摘要中不宜使用公式、图表,不标注引用文献编号,避免将摘要写成目录式的内容介绍。1.2.2 关键词关键词是供检索用的主题词条,应采用能覆盖论文主要内容的通用技术词条(参照相应的技术术语标准),一般列35个,按词条的外延层次从大到小排列,应在摘要中出现。1.3 目录目录应独立成页,包括论文中全部章、节的标题及页码。1.4 论文正文论文正文包括绪论、论文主体及结论等部分。1.4.1 绪论绪论一般作为论文的首篇。绪论应说明选题的背景、目的和意义,国内外文献综述以及论文所要研究的主要内容。文管类论文的绪论是毕业论文的开头部分,一般包括说明论文写作的目的与意义,对所研究问题的认识以及提出问题。绪论只是文章的开头,不必写章号。毕业设计(论文)绪论部分字数不多于全部论文字数的1/4。1.4.2 论文主体论文主体是论文的主要部分,要求结构合理,层次清楚,重点突出,文字简练、通顺。论文主体的内容要求参照大学本科生毕业设计(论文)的规定第五章。论文主体各章后应有一节“本章小结”。1.4.3 结论结论作为单独一章排列,但不加章号。结论是对整个论文主要成果的归纳,要突出设计(论文)的创新点,以简练的文字对论文的主要工作进行评价,一般为4001 000字。1.5 参考文献参考文献是论文不可缺少的组成部分,它反映了论文的取材来源和广博程度。论文中要注重引用近期发表的与论文工作直接有关的学术期刊类文献。对理工类论文,参考文献数量一般应在15篇以上,其中学术期刊类文献不少于8篇,外文文献不少于3篇;对文科类、管理类论文,参考文献数量一般为1020篇,其中学术期刊类文献不少于8篇,外文文献不少于3篇。在论文正文中必须有参考文献的编号,参考文献的序号应按在正文中出现的顺序排列。产品说明书、各类标准、各种报纸上刊登的文章及未公开发表的研究报告(著名的内部报告如PB、AD报告及著名大公司的企业技术报告等除外)不宜做为参考文献引用。但对于工程设计类论文,各种标准、规范和手册可作为参考文献。引用网上参考文献时,应注明该文献的准确网页地址,网上参考文献不包含在上述规定的文献数量之内。1.6 致谢对导师和给予指导或协助完成论文工作的组织和个人表示感谢。内容应简洁明了、实事求是,避免俗套。1.7 附录如开题报告、文献综述、外文译文及外文文献复印件、公式的推导、程序流程图、图纸、数据表格等有些不宜放在正文中,但有参考价值的内容可编入论文的附录中。 殷慧明 基于VHDL的汉字点阵动态显示系统的设计与实现 第 22 页 共 46 页2、论文书写规定2.1 论文正文字数理工类 论文正文字数不少于20 000字。文管类 论文正文字数12 00020 000字。其中汉语言文学专业不少于7 000字。外语类 论文正文字数8 00010 000个外文单词。艺术类 论文正文字数3 0005 000字。2.2 论文书写本科生毕业论文用B5纸计算机排版、编辑与双面打印输出。论文版面设置为:毕业论文B5纸、纵向、为横排、不分栏,上下页边距分别为2.5cm和2cm,左右页边距分别为2.4cm和2cm,对称页边距、左侧装订并装订线为0cm、奇偶页不同、无网格。论文正文满页为29行,每行33个字,字号为小四号宋体,每页版面字数为957个,行间距为固定值20磅。页眉。页眉应居中置于页面上部。单数页眉的文字为“章及标题”;双数页眉的文字为“大学本科生毕业设计(论文)”。页眉的文字用五号宋体,页眉文字下面为2条横线(两条横线的长度与版芯尺寸相同,线粗0.5磅)。页眉、页脚边距分别为1.8cm和1.7cm。页码。页码用小五号字,居中标于页面底部。摘要、目录等文前部分的页码用罗马数字单独编排,正文以后的页码用阿拉伯数字编排。2.3 摘要中文摘要一般为300字左右,外文摘要应与中文摘要内容相同,在语法、用词和书写上应正确无误,摘要页勿需写出论文题目。中、外文摘要应各占一页,编排装订时放置正文前,并且中文在前,外文在后。2.4 目录目录应包括论文中全部章节的标题及页码,含中、外文摘要;正文章、节题目;参考文献;致谢;附录。正文章、节题目(理工类要求编写到第3级标题,即.。文科、管理类可视论文需要进行,编写到23级标题。)2.5 论文正文2.5.1 章节及各章标题论文正文分章、节撰写,每章应另起一页。各章标题要突出重点、简明扼要。字数一般在15字以内,不得使用标点符号。标题中尽量不用英文缩写词,对必须采用者,应使用本行业的通用缩写词。2.5.2 层次层次以少为宜,根据实际需要选择。层次代号格式见表1和表2。表1 理工类论文层次代号及说明层次名称示 例说 明章第1章 章序及章名居中排,章序用阿拉伯数字节1.1 题序顶格书写,与标题间空1字,下面阐述内容另起一段条1.1.1 款1.1.1.1 题序顶格书写,与标题间空1字,下面阐述内容在标题后空1字接排项 (1) 题序空2字书写,以下内容接排,有标题者,阐述内容在标题后空1字 版心左边线 版心右边线表2 文管类论文层次代号及说明章节条款项一、 (一) 1. (1)居中书写空2字书写空2字书写空2字书写空2字书写 版心左边线 版心右边线各层次题序及标题不得置于页面的最后一行(孤行)。2.6 参考文献正文中引用文献标示应置于所引内容最末句的右上角,用小五号字体。所引文献编号用阿拉伯数字置于方括号“ ”中,如“二次铣削1”。当提及的参考文献为文中直接说明时,其序号应该与正文排齐,如“由文献8,1014可知”。经济、管理类论文引用文献,若引用的是原话,要加引号,一般写在段中;若引的不是原文只是原意,文前只需用冒号或逗号,而不用引号。在参考文献之外,若有注释的话,建议采用夹注,即紧接文句,用圆括号标明。不得将引用文献标示置于各级标题处。参考文献书写格式应符合GB77141987文后参考文献著录规则。常用参考文献编写项目和顺序应按文中引用先后次序规定如下:著作图书文献序号作者书名(版次)出版地:出版者,出版年:引用部分起止页 第一版应省略翻译图书文献序号作者书名(版次)译者出版地: 出版者,出版年:引用部分起止页 第一版应省略学术刊物文献序号作者文章名学术刊物名年,卷(期):引用部分起止页学术会议文献序号作者文章名编者名会议名称,会议地址,年份出版地,出版者,出版年:引用部分起止页学位论文类参考文献序号研究生名学位论文题目出版地学校(或研究单位)及学位论文级别答辩年份:引用部分起止页 西文文献中第一个词和每个实词的第一个字母大写,余者小写;俄文文献名第一个词和专有名词的第一个字母大写,余者小写;日文文献中的汉字须用日文汉字,不得用中文汉字、简化汉字代替。文献中的外文字母一律用正体。作者为多人时,一般只列出前3名作者,不同作者姓名间用逗号相隔。外文姓名按国际惯例,将作者名的缩写置前,作者姓置后。学术会议若出版论文集者,可在会议名称后加上“论文集”字样。未出版论文集者省去“出版者”、“出版年”两项。会议地址与出版地相同者省略“出版地”。会议年份与出版年相同者省略“出版年”。学术刊物文献无卷号的可略去此项,直接写“年,(期)”。参考文献序号顶格书写,不加括号与标点,其后空一格写作者名。序号应按文献在论文中的被引用顺序编排。换行时与作者名第一个字对齐。若同一文献中有多处被引用,则要写出相应引用页码,各起止页码间空一格,排列按引用顺序,不按页码顺序。参考文献书写格式示例见附录1。2.7 名词术语科技名词术语及设备、元件的名称,应采用国家标准或部颁标准中规定的术语或名称。标准中未规定的术语要采用行业通用术语或名称。全文名词术语必须统一。一些特殊名词或新名词应在适当位置加以说明或注解。文管类专业技术术语应为常见、常用的名词。采用英语缩写词时,除本行业广泛应用的通用缩写词外,文中第一次出现的缩写词应该用括号注明英文全文。2.8 计量单位物理量计量单位及符号一律采用中华人民共和国法定计量单位(GB310031021993,见附录2),不得使用非法定计量单位及符号。计量单位符号,除用人名命名的单位第一个字母用大写之外,一律用小写字母。非物理单位(如件、台、人、元、次等)可以采用汉字与单位符号混写的方式,如“万tkm”,“t/(人a)”等。文稿叙述中不定数字之后允许用中文计量单位符号,如“几千克至1 000kg”。表达时刻时应采用中文计量单位,如“上午8点45分”,不能写成“8h45min”。计量单位符号一律用正体。2.9 外文字母的正、斜体用法按照GB310031021986及GB71591987的规定使用,即物理量符号、物理常量、变量符号用斜体,计量单位等符号均用正体。2.10 数字按国家语言文字工作委员会等七单位1987年发布的关于出版物上数字用法的规定,除习惯用中文数字表示的以外,一般均采用阿拉伯数字(参照附录3)。2.11 公式原则上居中书写。若公式前有文字(如“解”、“假定”等),文字顶格书写,公式仍居中写。公式末不加标点。公式序号按章编排,如第1章第一个公式序号为“(1-1)”,附录2中的第一个公式为(-1)等。 文中引用公式时,一般用“见式(1-1)”或“由公式(1-1)”。公式中用斜线表示“除”的关系时,若分母部分为乘积应采用括号,以免含糊不清,如a/(bcosx)。通常“乘”的关系在前,如acosx/b而不写(a/b)cosx。2.12 插表表格不加左、右边线。表序一般按章编排,如第1章第一个插表的序号为“表11”等。表序与表名之间空一格,表名中不允许使用标点符号,表名后不加标点。表序与表名置于表上,居中排写(见附录4)。表头设计应简单明了,尽量不用斜线。表头中可采用化学符号或物理量符号。全表如用同一单位,将单位符号移到表头右上角,加圆括号(见附录4中的例2)。表中数据应正确无误,书写清楚。数字空缺的格内加“”字线(占2个数字宽度)。表内文字和数字上、下或左、右相同时,不允许用“”、“同上”之类的写法,可采用通栏处理方式(见附录4中的例2)。表内文字说明不加标点。文管类的插表在表下一般根据

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论