电子综合设计EDA课程设计-自动售票机_第1页
电子综合设计EDA课程设计-自动售票机_第2页
电子综合设计EDA课程设计-自动售票机_第3页
电子综合设计EDA课程设计-自动售票机_第4页
电子综合设计EDA课程设计-自动售票机_第5页
已阅读5页,还剩22页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

自动售票机课程设计课程设计报告2015-2016学年第2学期 课程设计名称:电子综合设计EDA课程设计院(系):电子信息学院专业:电子信息工程班级:电子1313学生姓名:吴限学号:26综合实验时间:2016/7/11-2016/7/15指导教师:钟旭提交时间:2016/7/15上海电机学院课程设计任务书课程名称电子综合设计EDA课程设计课程代码033117P1课程设计课题清单1、数字式竞赛抢答器2、自动售票机3、乒乓球比赛游戏机4、电子密码锁5、出租车自动计费器6、洗衣机控制器7、交通信号灯控制器8、电梯控制器设计时间2016年7月11日——2016年7月15日一、课程设计任务汇总设计任务:自动售票机功能要求:设计一个自动售票机,用开关电平信号模拟投币过程,每次投一枚硬币,但可以连续投入数枚硬币;机器能自动识别硬币金额,最大为1元,最小为5角。设定票价为2.5元,每次售一张票;购票时先投入硬币,当投入的硬币总金额达到或超过票的面值时,机器发出指示,这时可以按取票键取出票;如果所投硬币超过票的面值则会提示找零钱,取完票以后按找零键则可以取出零钱,并显示零钱数;使用数码管显示当前投入的金额。二、对课程设计成果的要求(包括课程设计报告、图纸、图表、实物等软硬件要求)1.课程设计基本要求课题分析、查阅资料、方案论证、方案实现、系统联调、撰写课程设计报告2.成果要求(1)系统方案(2)电路RTL原理图(3)Verilog源程序和流程图(或状态机转移图)(4)Verilog测试程序(5)设计说明书(课程设计报告)三、课程设计工作进度计划:时间安排内容星期一下达任务星期二程序设计星期三程序调试星期四撰写报告星期五考核答辩四、主要参考资料:指导书:《Verilog数字系统设计教程》夏宇闻编著北京航空航天大学出版社参考资料:《EDA设计实验教程》艾明晶编著清华大学出版社

目录一、 题目名称 题目名称自动售票机内容摘要自动售票机是为了实现机器根据输入指令进行全自动出售票的目的。本设计是采用了币值输入相加模块、主控模块和币值显示这三个模块实现的。在币值输入相加模块中,用两个开关电平输入按钮分别代表2种硬币输入,每按一次,表示投入一枚硬币。并设置控制电路,硬币输入的次数控制十进制加法器的加数A和被加数B,使输入的币值实时相加。用两位数码管显示当前的投入币值,在主控模块中设置一个复位信号reset,用于中止交易(系统复位)。设置一个取票信号ok,一个票给出信号tout,tout接LED显示,灯亮则表示可以取票,否则取票键无效,按ok键取票,灯灭。设置一个取零钱信号charge,一个零钱输出信号mout,mout接LED显示,灯亮则表示有零钱,按charge取零钱,灯灭。主控模块中是一个状态机,当币值不同时分别实现不同的结果。设计任务和要求设计一个自动售票机,用开关电平信号模拟投币过程,每次投一枚硬币,但可以连续投入数枚硬币。机器能自动识别硬币金额,最大为1元,最小为5角。设定票价为2.5元,每次售一张票。购票时先投入硬币,当投入的硬币总金额达到或超过票的面值时,机器发出指示,这时可以按取票键取出票。如果所投硬币超过票的面值则会提示找零钱,取完票以后按找零键则可以取出零钱。

系统方案图4-1自动售票机系统框图图4-1自动售票机系统框图表4-1币值相加模块输入状态表设计方法——硬件设计图5-1自动售票机硬件系统框图

设计方法——软件设计图6-1币值判断流程图图6-2系统流程图

系统调试7.1使用的主要仪器和仪表计算机一台、ISE仿真设计软件。7.2调试电路的方法和技巧分别运行每个模块的程序,观察是否通过检测,最后通过仿真出来的测试波形图,仔细的进行一步步运行,观察运行结果是否和课题的设计要求相对应。7.3整理性能测试数据和波形,并与计算结果比较分析实际的仿真结果图能够完美的实现课题的各项要求,最终的仿真测试图如下图8-4。7.4调试中出现的故障、原因及排除方法数码管显示不正确,原因是管脚的配置不对。

设计成果图8-1顶层模块clk:时钟信号;reset:系统复位清零;half:5角硬币模拟信号,为1时表示投币5角,为0表示未投入;one:1元硬币模拟信号,为1时表示投币1元,为0表示未投入;mout:可找零钱输出显示,置1表示有零可找,置0表示无零钱可找;tout:有票输出信号,置1表示有票可出,置0表示不能出票;charge:取零钱信号,置1检查是否能出零钱;ok:取票,置1检查是否可取票;ms1,ms2:输出数码管片选信号,01选中第2位数码管,10选中第1位数码管;a,b,c,d,e,f,g:数码管输出译码信号,分别驱动数码管7个引脚。图8-2主控模块mh:投入金额数码显示的高4位;ml:投入金额数码显示的低4位。图8-3数码管模块in1,in2:分别表示高低位输入金额;ms1,ms2:输出数码管片选信号。a,b,c,d,e,f,g:数码管输出译码信号,分别驱动数码管7个引脚。图8-4模拟仿真图图8-5图8-5为第一次交易此时投入一枚硬币,此时金额显示为10,译码后也就是1001111,0000001;此时按下ok键,由于金额不足,没有任何反应。随后投入第二枚硬币,金额显示20,金额不足,依旧没有反应。图8-6图8-6表示此时又投入1元,此时总共已经投入3元,数码管显示30,译码后就是0000110,0000001;此时,tout为1。按下ok,出票,tout为0。图8-7图8-7表示由于还有余额5毛,所以mout为1,按下charge,找零,mout清零,找零。图8-8图8-8为第二次交易,先按下half,金额为5毛,显示05,译码后为0000001,0100100。由于金额不足按下ok后,没有反应。图8-9图8-9表示随后按下one,再按one,此时金额达到2.5元,金额已满,tout=1。然后按下ok,出票并且tout清零。

设计结论本自动售票机较好地采用了自顶向下的设计理念,利用层次化结构化的方法将售票机系统分为三个模块。自动售票机系统是由顶层模块——负责连接各子模块,主控模块——设置各输入输出信号、时钟信号及复位信号,并控制币值的累加,数码管显示模块——负责数码管的动态显示币值组成。设计合理,层次清晰,仿真时能够分别测试各个模块从而尽早地排除错误。在程序设计上顶层模块调用主模块及数码管显示模块,实现对主控与数码管的连接;主控模块采用Mealy状态机结构主要对投币情况及出票进行控制并送数码管显示;数码管模块采用动态扫描方法结合片选信号实现两位数码显示。通过对着三个大模块的设计,成功实现了自动售票的功能并进行仿真测试,即当币值等于2.5元时,tout指示灯亮,ok键有票给出,mout灯不亮,不找零钱;当币值为3.0元时,tout指示灯亮,ok键有票给出,mout指示灯亮,有零钱给出,charge键找零钱;其余情况下,既无票给出也不找零钱。测试结果显示设计符合要求。

收获和体会通过本次课程设计,我对VerilogHDL语言有了更深刻的了解,能够比较灵活地运用它来实现我们所想要要其实现的功能。在实验中,我也遇到了很多挫折,不过我都和同伴一一克服了,大家齐心协力解决了问题,使我明白了和他人共同合作的重要性。在以后的道路上我们也必须深刻认识到团队合作的精神,投入今后的发展之中。日常生活中有很多各种不同的自动售票机,通过本次课程设计我终于了解到自动售票是如何实现的了,虽然我们设计的知识很简单的一种售票机,但是原理是大同小异的,相信如果让我设计更复杂点的功能,我也会研究出来。成功就是在不断摸索着前进中实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。

附件(源程序)顶层模块程序:moduletop(one,half,reset,clk,ok,charge,tout,mout,ms1,ms2,a,b,c,d,e,f,g);//顶层模块,进行子模块的连接 inputone,half,reset,clk,ok,charge; outputtout,mout,ms1,ms2,a,b,c,d,e,f,g; wire[3:0]mh,ml; ticketu1(//子模块连接 .one(one), .half(half), .mh(mh), .ml(ml), .tout(tout), .mout(mout), .reset(reset), .clk(clk), .ok(ok), .charge(charge) ); selu2( .in1(ml), .in2(mh), .clk(clk), .ms1(ms1), .ms2(ms2), .a(a), .b(b), .c(c), .d(d), .e(e), .f(f), .g(g));endmodule//主控模块程序://自动售票机/*信号定义:clk:时钟信号;reset:系统复位清零;half:5角硬币模拟信号;one:1元硬币模拟信号;mout:有找零钱输出显示;tout:有票输出信号;charge:取零钱;ok:取票;mh:投入金额数码显示的高4位;ml:投入金额数码显示的低4位。*/moduleticket(one,half,mh,ml,tout,mout,reset,clk,ok,charge); parametera=0,b=1,c=2,d=3,e=4;//定义5个状态 inputone,half,reset,clk,ok,charge; outputtout,mout,mh,ml; regmout,tout; reg[3:0]money; reg[3:0]mh; reg[3:0]ml; always@(posedgeclk) begin if(reset) begin tout=0; mout=0; money=a; {mh,ml}=8'b00000000; end case(money) a:if(half)beginmoney=b;{mh,ml}=8'b00000101;end elseif(one) beginmoney=c;{mh,ml}=8'b00010000;end b:if(half)beginmoney=c;{mh,ml}=8'b00010000;end elseif(one) begin money=d; {mh,ml}=8'b00010101; end c:if(half)beginmoney=d;{mh,ml}=8'b00010101;end elseif(one) begin money=e;{mh,ml}=8'b00100000;end d:if(half)beginmoney=e;{mh,ml}=8'b00100000;end elseif(one) beginmoney=a; {mh,ml}=8'b00100101; mout=0; tout=1;//sell end e:if(half) begin money=a; {mh,ml}=8'b00100101; tout=1;//sell end elseif(one) begin money=a; {mh,ml}=8'b00110000; tout=1; end endcase if({mh,ml}==8'b00100101)begin if(ok)begin tout=0;mout=0;{mh,ml}=8'b00000000;end end if({mh,ml}==8'b00110000)begin if(ok) begin tout=0;mout=1;{mh,ml}=8'b00000101;end end if(charge&&mout==1)begin {mh,ml}=8'b00000000;mout=0;end end endmodule//数码管模块:modulesel(in1,in2,clk,ms1,ms2,a,b,c,d,e,f,g); input[3:0]in1,in2;//输入金额 inputclk; outputregms1,ms2;//输出数码管片选信号 outputrega,b,c,d,e,f,g;//输出译码信号 regi=0; always@(posedgeclk) begin case(i) 1'd0:begin {ms1,ms2}=2'b10;//对数码管进行动态显示 i<=i+1'b1; case(in1) 4'd0:{a,b,c,d,e,f,g}<=7'b0000001;//进行数码管译码输出4'd1:{a,b,c,d,e,f,g}<=7'b1001111;4'd2:{a,b,c,d,e,f,g}<=7'b0010010;4'd3:{a,b,c,d,e,f,g}<=7'b0000110;4'd4:{a,b,c,d,e,f,g}<=7'b1001100;4'd5:{a,b,c,d,e,f,g}<=7'b0100100;4'd6:{a,b,c,d,e,f,g}<=7'b0100000;4'd7:{a,b,c,d,e,f,g}<=7'b0001111;4'd8:{a,b,c,d,e,f,g}<=7'b0000000;4'd9:{a,b,c,d,e,f,g}<=7'b0000100; endcase end 1'd1:begin {ms1,ms2}=2'b01;//对数码管进行动态扫描 i<=i+1'b1; case(in2) 4'd0:{a,b,c,d,e,f,g}<=7'b0000001;//进行数码管译码输出4'd1:{a,b,c,d,e,f,g}<=7'b1001111;4'd2:{a,b,c,d,e,f,g}<=7'b0010010;4'd3:{a,b,c,d,e,f,g}<=7'b0000110;4'd4:{a,b,c,d,e,f,g}<=7'b1001100;4'd5:{a,b,c,d,e,f,g}<=7'b0100100;4'd6:{a,b,c,d,e,f,g}<=7'b0100000;4'd7:{a,b,c,d,e,f,g}<=7'b0001111;4'd8:{a,b,c,d,e,f,g}<=7'b0000000;4'd9:{a,b,c,d,e,f,g}<=7'b0000100; endcase end endcase endendmodule测试程序:moduletest_top; //Inputs regone; reghalf; regreset; regclk; regok; regcharge; //Outputs wiretout; wiremout; wirems1; wirems2; wirea; wireb; wirec; wired; wiree; wiref; wireg; //InstantiatetheUnitUnderTest(UUT) topuut( .one(one), .half(half), .reset(reset), .clk(clk), .ok(ok), .charge(charge), .tout(tout), .mout(mout), .ms1(ms1), .ms2(ms2), .a(a), .b(b), .c(c), .d(d),

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论