16×16的点阵显示设计_第1页
16×16的点阵显示设计_第2页
16×16的点阵显示设计_第3页
16×16的点阵显示设计_第4页
16×16的点阵显示设计_第5页
已阅读5页,还剩21页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

目录TOC\o"1-5"\h\z\o"CurrentDocument"一、总体设计思路11.1基本原理1\o"CurrentDocument"1.2总体设计图框图3\o"CurrentDocument"1.3顶层文件设计3\o"CurrentDocument"二、单元电路设计.4\o"CurrentDocument"2.1时序控制模块.4\o"CurrentDocument"2.2扫描控制模块5\o"CurrentDocument"2.3显示控制模块.6\o"CurrentDocument"三、仿真结果13\o"CurrentDocument"四、系统调试154.1创建工程154.2全程编译154.3管脚绑定154.4硬件调试16\o"CurrentDocument"五、心得体会17六、附录186.1总电路图186.2参考程序:19\o"CurrentDocument"七、参考文献27一、总体设计思路1.1基本原理LED就是LightEmittingDiode(发光二极管)的缩写。在某些半导体材料的PN结中,注入的少数载流子与多数载流子复合时会把多余的能量以光的形式释放出来,从而把电能直接转换为光能。PN结加反向电压,少数载流子难以注入,故不发光。这种利用注入式电致发光原理制作的二极管叫发光二极管,通称LED。LED显示屏是一种通过控制半导体发光二极管的显示方式,用来显示文字、图形、图像、动画、行情、视频、录像信号等各种信息的显示屏幕。中小规模的LED点阵显示使用非常广泛,采用单片机控制时,需要扩展大量的外围资源,并且不便于进行扩展、修改和维护。在系统可编程逻辑器件具有丰富的I/O口及内部资源,器件的编程和修改也极为方便。本设计是以Altera公司可编程逻辑芯片EP1K30TC144-3为控制核心、附加一定外围电路组成的一个16X16点阵,此点阵系统使用逐列循环扫描的方式来显示自己姓名的英文大写字母。这样不但简化了外围电路、而且易于修改、扩展和维护。16X16扫描LED点阵的工作原理同8位扫描数码管类似。它有16个共阴极输出端口,每个共阴极对应有16个LED显示灯,所以其扫描译码地址需4位信号线(SEL0-SEL3),其汉字扫描码由16位段地址(0-15)输入。通过时钟的每列扫描显示完整汉字。点阵LED一般采用扫描式显示,实际运用分为三种方式:点扫描行扫描列扫描若使用第一种方式,其扫描频率必须大于16X64=1024Hz,周期小于1ms即可。若使用第二和第三种方式,则频率必须大于16X8=128Hz,周期小于7.8ms即可符合视觉暂留要求。此外一次驱动一列或一行(8颗LED)时需外加驱动电路提高电流,否则LED亮度会不足。实验箱上为我们提供了16*16的点阵显示模块。通过观察,我们可以看到,此点阵显示屏即为16行、16列的LED显示灯。那么控制这些灯的亮暗分布,就能达到字符显示的目的。首先我们设计要正确显示自己名字的英文大写字母(T,A,N,G,J,I,N,G),显示屏上的灯的亮暗情况具体应如何。如第一个字母‘T’:0000000000000000111111111111111111111111111111110000000111000000000000011100000000000001110000000000000111000000000000011100000000000001110000000000000111000000000000011100000000000001110000000000000111000000000000011100000000000001110000000000000000000000如果高电平‘1时表示灯是亮的,低电平‘0’时灯是暗的,这样上面的灯呈现在我们眼前的就是‘T’字了。依次类推,我们就可以设计出所有要显示的字母了。这样这些字母就好象是被我们当作了固定模型了。但如何点亮这些灯呢,这就要求有一个脉冲循环扫描的电路,我们采用列扫描,当扫描的和我们先设计好的字母模型的高电平相匹配时,灯就相应的点亮。由于扫描的速度很快,我们人的眼睛并不会感到灯的闪烁,所以每扫描完16列就会显示在我们眼中一个字母了。但要所有的字母就可以依次的显示出来并不停的循环显示,就还要再有一个时序控制电路来控制。此时序控制电路象一个计数器,有自动清零的功能,这样就可以实现循环的效果了。

1.2总体设计图框图图1.2总体设计框图1.3顶层文件设计顶层文件来实现循环显示各个字母的功能。它由时序控制模块,扫描模块和字母显示控制模块组成。时序控制模块利用脉冲来控制每个字母的显示时间。扫描控制模块利用列扫描脉冲产生列扫描信号。而字母显示控制模块用时序控制模块和扫描控制模块所提供的脉冲信号来点亮各个字母模型中相应的灯。在时序模块的控制下(此设计中每八个字母为一个循环)实现了各个字母循环显示的功能。要实现显示自己的英文名字大写字母。结合实际,模块chw,cnta,cora分别实现时序控制模块,扫描模块和字母显示控制模块。具体电路的顶层文件设计如下图图1.3顶层文件设计二、单元电路设计2.1时序控制模块此模块用来控制每个字母的显示时间,当计满四个脉冲时cnt自动清零,即每个字母显示四个脉冲的时间。一共有八个字母(T,A,N,G,J,I,N,G)。当tmp=1000时,tmp自动清零,此后是这些字母显示的下一个循环。如此不停的循环显示各个字母。为使字母不断地循环显示,并且使每个字母之间有停顿,就需要在中间加一定的延时和循环环节。在这一环节中,可以通过修改其数值来控制每个字母的显示时间。•!——clfcq[3..O]--I图2.1时序控制模块程序如下:Libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;EntitychwisPort(clk:instd_logic;q:outstd_logic_vector(3downto0));Endchw;Architecturechw_arcofchwisBeginProcess(clk)variablecnt:integerrange0to1000;variabletmp:std_logic_vector(3downto0);beginIfclk'eventandclk='1'thenIfcnt<1000thencnt:=cnt+1;Elsecnt:=0;Iftmp="1111"thentmp:="0000”;Elsetmp:=tmp+1;Endif;Endif;Endif;q<=tmp;Endprocess;Endchw_arc;2.2扫描控制模块此模块用来实现列扫描控制,通过对每一列的扫描来完成对字母的现实,,每扫描完16列,tmp自动清零,这样就提供了一个列循环扫描的功能,这样就可以循环的是各个字母。只要扫描的频率足够快,就能给人以连续的感觉。因此要控制扫描的频率,不能太低,否则,就会造成视觉上的不连续。cnta.iirEti..图2.2扫描控制模块扫描程序如下Libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;EntitycntaisPort(clk:instd_logic;--端口定义输入信号q:outstd_logic_vector(3downto0));--端口定义输出信号Endcnta;ArchitecturecountofcntaisSignallie:std_logic_vector(3downto0);BeginProcess(clk)beginIfclk'eventandclk='1'thenIflie="1111"thenLie<="0000";ElseLie<=lie+1;Endif;Endif;q<=lie-1;Endprocess;Endcount;2.3显示控制模块此模块为列驱动控制器sel控制的是所显示的字,用来实现显示出相应的字母。ch控制字母的个数,sel控制扫描的行数。使对应列选择信号输出每一列对应的数据,点量相应的灯。例如当ch为0000B时,表示输出字的第一列文字信息,sel为0001B时,表示输出字的第二列文字信息,依次类推。-^-dIi[3..O]q[15..O]—4''TOC\o"1-5"\h\z:—|访|[3.0]「::::::iinst":图2.3显示控制模块显示程序如下:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYcoraISPORT(ch:INSTD_LOGIC_VECTOR(3downto0);sel:INSTD_LOGIC_VECTOR(3downto0);q:OUTSTD_LOGIC_VECTOR(15downto0));ENDcora;ARCHITECTUREcora_arcOFcoraISBEGINPROCESS(ch,sel)BEGINCASEchISWhen"0000"=>caseseliswhen"0000"=>q<="0000000000000000";when"0001"=>q<="1111111111111111”;when"0010"=>q<="1111111111111111”;when"0011"=>q<="0000000111000000”;when"0100"=>q<="0000000111000000”;when"0101"=>q<="0000000111000000”;when"0110"=>q<="0000000111000000”;when"0111"=>q<="0000000111000000”;when"1000"=>q<="0000000111000000”;when"1001"=>q<="0000000111000000";when"1010"=>q<="0000000111000000";when"1011"=>q<="0000000111000000";when"1100"=>q<="0000000111000000";when"1101"=>q<="0000000111000000";when”1110”=>q<=H0000000111000000H;when"1111"=>q<="0000000000000000";whenothers=>null;endcase;When"0001"=>caseseiiswhen"0000"=>q<="0000000000000000";when"0001"=>q<="0000001111000000";when"0010"=>qv=”0000011001100000”;when"0011"=>q<="0000110000110000";when"0100"=>q<="0000110000110000";when"0101"=>q<="0001110000111000";when"0110"=>q<="0001110000111000";when"0111"=>q<="0011110000111100";when"1000"=>q<="0011111111111100";when"1001"=>q<="0011111111111100";when"1010"=>q<="0011100000111100";when"1011"=>q<="0011100000011100";when"1100"=>q<="0011100000011100";when"1101"=>q<="0011100000011100";when"1110"=>q<="0011100000011100";when"1111"=>q<="0011100000011100";whenothers=>null;endcase;When"0010"=>caseseiiswhen"0000"=>q<="0000000000000000";when"0001"=>q<="l111100000001111";when"0010"=>q<="l111110000001111";when"0011"=>q<="l111011100001111";when"0100"=>q<="l111011100001111";when"0101"=>q<="l111001110001111";when"0110"=>q<="l111001110001111";when"0111"=>q<="l111000111001111";when"1000"=>q<="l111000111001111";when"1001"=>q<="l111000111001111";when"1010"=>q<="l111000011101111";when"1011"=>q<="l111000011101111";when"1100"=>q<="l111000011101111";when"1101"=>q<="l111000001111111";when"1110"=>q<="l111000000111111";when"1111"=>q<="0000000000000000";whenothers=>null:endcase:When"0011"=>caseseiiswhen"0000"when"0001"when"0010"when"0011"when"0100"when"0101"when"0110"when"0111"when"1000"when"1001"when"1010"when"1011"when"1100"when"1101"=>q<="0000000000000000";=>qv=”1110000000000000";=>qv=”1110000000000000";=>qv=”1110000000000000";=>qv=”1110000000000000";=>qv=”1110000000000000";=>qv=”1110000000000000";=>q<="11100000111111H";=>q<="11100000111111H";=>qv=”1110000000000111";=>qv=”1110000000000111";when"1110"when"1111"=>q<="0000000000000000";whenothers=>null;endcase;When"0100"=>caseseiiswhen"0000"=>q<="0000000000000000";when"0001"when"0010"when"0011"=>q<="0000000111000000";when"0100"=>q<="0000000111000000";when"0101"=>q<="0000000111000000";when"0110"=>q<="0000000111000000";when"0111"=>q<="0000000111000000";when"1000"=>q<="0000000111000000";when"1001"=>q<="0000000111000000";when"1010"=>q<="0000000111000000";when"1011"=>q<="0000000111000000";when"1100"=>q<="0111100111000000";when"1101"=>q<="0011110111000000";when"1110"=>q<="0001111111000000";when"1111"=>q<="0000000000000000";whenothers=>null;endcase;When"0101"=>caseseiiswhen"0000"=>qv=”0000000000000000”;when"0001"=>qv=”0000000000000000”;when"0010"=>q<="0111111111111100";when"0011"=>q<="0000000110000000";when"0100"=>qv=”0000000110000000”;when"0101"=>qv=”0000000110000000”;when"0110"=>q<="0000000110000000";When"0110"=>caseselisWhen"0111"=>caseseliswhen"0111"=>q<="0000000110000000";when"1000"=>q<="0000000110000000”;when"1001"=>q<="0000000110000000”;when"1010"=>q<="0000000110000000”;when"1011"=>q<="0000000110000000”;when"1100"=>q<="0000000110000100”;when"1101"=>q<="1111111111111110”;when"1110"=>q<="0000000000000000”;when"1111"=>q<="0000000000000000”;whenothers=>null;endcase;when"0000"=>q<="0000000000000000”;when"0001"=>q<="1111100000001111”;when"0010"=>q<="1111110000001111”;when"0011"=>q<="1111011100001111”;when"0100"=>q<="1111011100001111”;when"0101"=>q<="1111001110001111”;when"0110"=>q<="1111001110001111”;when"0111"=>q<="1111000111001111”;when"1000"=>q<="1111000111001111”;when"1001"=>q<="1111000111001111”;when"1010"=>q<="1111000011101111”;when"1011"=>q<="1111000011101111”;when"1100"=>q<="1111000011101111”;when"1101"=>q<="1111000001111111”;when"1110"=>q<="1111000000111111”;when"1111"=>q<="0000000000000000”;whenothers=>null;endcase;when"0000"=>q<="0000000000000000";when"0001"=>q<="1111111111111111”;when"0010"=>q<="1111111111111111”;when"0011"=>q<="1110000000000000”;when"0100"=>q<="1110000000000000”;when"0101"=>q<="1110000000000000”;when"0110"=>q<="1110000000000000”;when"0111"=>q<="1110000000000000”;when"1000"=>q<="1110000000000000”;when"1001"=>q<="1110000011111111”;when"1010"=>q<="1110000011111111”;when"1011"=>q<="1110000000000111”;when"1100"=>q<="1110000000000111”;when"1101"=>q<="11111111111111111”;when"1110"=>q<="11111111111111111”;when"1111"=>q<="0000000000000000”;whenothers=>null;endcase;whenothers=>null;endcase;ENDPROCESS;ENDcora_arc;三、仿真结果3.1时序控制模块仿真M:=JTlb!elk□1Valu^15.1iAQA[Oj0pz20.0ns40.0ns60.0nzEf15.1ns3.2扫描控制模块仿真

UWip0ps40■?ns80-9ns120.0ns11&0.01N==dFiH15.DE15.075nsJH*:hA[i(_—ia■[o]iii■iii厂iSqA[0]:由][□LJKIKIlKlBLE1][L92]■ii-q.[15]AlLi1■1111111I1I111111111I11■iiiii-q.[14]AI□■111I11111111111111I11111iiiiii-q.[13]AI±_rr~III111■Il111III11II11111111iiii-d[12]AIXTTTL11111111111111111111111«-q.[H]AI1■1;■1111111I1111111IIIj111111I11■iiiii-q.[10]Al1■i■Iiiii111I11iiii-i[g]AI_rm■|iiiiiIiiiiI11111111iiiiii-its]Ai顼1!—1I1111I111111r1111111■iii-1E7]AI—:i1111111I111I11iiii-q.[B]Ai_:H■111I1I111111p1111111I11111iiii■i-1E5]AIji~r1111111111111111iiii-q,[4]AIX!1!■-1111111I11111111111I11■iiiii-d[3]Al1■|■■i■IiiiiiIiiiiB■|IIjI11111iiii-1E2]AI111iii:11111Ii1I1111■Iiiii1iiiI11111111iiiiii■i-dtl]AI_mr1I1111■Iiiii111111ii■i-q.[0]AI•i1■1■I*«''i■1■I■1iiii!1■1■1§1ii*»111111ii[0,=A[jfw]3.4总体仿真图"s二、=E.Qh0n:览注二-RDn:12::c.zSane14.27与IL5JcLk日qKE:]]2]-q[l]Lqttl]q[15]q.E14Jq[13]q[l£]qgnL10]此qE]心]何q.C5]q[q]2[2]3E1U]5EJ.C0]RiA[!A1A.AiA1BlBl-BiB1Bl-BiBiB1Bl-B1Bl-_T_L_^—-L_T_L_^_—_T_L——-L_T_L'J成j<iJ:_[0]iLIiLrj1J1[Jri―ii1jIr1iiu1.riiii1ri_nu■i「IIrLJLiT_II~I1Ijr~i;rn;r四、系统调试4.1创建工程在QuartusII中新建一个VHDLFile文件,将VHDL代码输入这个文件,并保存到工作目录,名为cnta.vhd。4.2全程编译设置好前面的内容之后,就可以进行编译了。选择Processing菜单中startcompilation,在窗口的下方processing栏中显示编译信息。完成后在工程管理窗口左是角显示了工程cnta的层次结构和其中结构模块耗用的逻辑宏单元数。此栏的右边是编译处理流程,包括数据网表建立、逻辑综合、适配、配置文件装配和时序分析等。4.3管脚绑定软件仿真实现之后,要进行硬件仿真,即硬件实现。硬件实现简单明了,可以清楚的看到实验的成功与否,而且真实可靠。管脚绑定可以实现硬件和软件的完美结合,使实验清楚明白。图4.3为管脚绑定的大致图形。QkT-|i1PIN-1LB1JM0:.3-VLvrn.CdefauICQ[151OUlJJUlp心1B1JM0\3-vLvm.(defauIC见OutpulP】N_31B1JM0\3-vLvm.(defaulO矩凸U甲L11P1N_413.3-VLV.iTn.(default)用OutpulP]N_5161_N03.3-VL\rm(default)q[H]OutpulP]N_613.3-VL\rm(default)q[io]凸U甲L11P1N_71B1_NO3.3-VLV.iTn.(default)q回Outputp]n_:lo1B1_NO(default)q圄OutputP]N_U1B1_NL(default)qcnOutputPIN_554&q_Nim.3"VLWTTL(default)q回outputP]N_374BA_N2LVTTL(default)q国outputP]N_3SBA_N2LVTTL(default)匚倒OutputP】国-珥4&A_N23.3-J/LtnTL(default)心outputP]N_4d1BA_N2LVTTL(default)qHoutputP]N_57J.3-VLVTTL(default)q[i]OutputP3N_5S4&A_NL1.3-VLmL(delault)qPJoutputP]N_5913.3-VLVTTL(default)如outputP]N_43BA_N2J.3-VLVTTL(default)OutputP]N.相43.3-VLVTFL(距怕ult;<!>如OutputP]N_504MJK23.3-VLVTFL(de怕ult;<!>OutputP]N_514MJK23.3-VLMTTL[default;图4.3管脚绑定

选择tools菜单中programmer,点击左上角的HardwareSetup,选择USB,点击start即可连接硬件,进行调试。连接成功后,即可在实验箱中LED屏循环显示字母。如下图字母的显示:五、心得体会为期两周的嵌入式课程设计完成了。整过设计过程虽然不是很顺利。不过我认真对待老师给我们的课题,中间虽然也有不少挫折,但不经历挫折怎么见风雨,在我四处查看资料,请教同学下终于完成。经过这两周的课程设计,我加深了对PLD的认识,学到了很多以前不知道的东西,也明白也PLD在现实生活中的重要应用和它的发展趋势。以前在书本上学到的东西总是不能够很好地运用到现实当中去,现在终于得到了改善,这让我感到非常的高兴。从这次实习中,我体会到,如果将我们在大学里所学的知识与更多的实践结合在一起,用实践来检验真理,使一个本科生具备较强的处理基本实务的能力与比较系统的专业知识,这才是我们学习与实习的真正目的。让我们不再是一个沉睡的孩子,让我们的无知渐渐远去,把我们所学的知识运用到实际中去,为社会出上我们的一份力。感谢老师给的这次让我们自行设计的机会,通过这次设计通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。总的来说,这次设计的16X16点阵显示控制还是比较成功的,在设计中遇到了很多问题,最后在老师的辛勤的指导下,终于游逆而解,有点小小的成就感,终于觉得平时所学的知识有了实用的价值,达到了理论与实际相结合的目的,不仅学到了不少知识,而且锻炼了自己的能力,使自己对以后的路有了更加清楚的认识,同时,对未来有了更多的信心。最后,对给过我帮助的所有同学和指导老师再次表示忠心的感谢六、附录6.1总电路图时序控制模块Libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;EntitychwisPort(clk:instd_logic;q:outstd_logic_vector(3downto0));Endchw;Architecturechw_arcofchwisBeginProcess(clk)variablecnt:integerrange0to1000;variabletmp:std_logic_vector(3downto0);beginIfclk'eventandclk='1'thenIfcnt<1000thencnt:=cnt+1;Elsecnt:=0;Iftmp="1111"thentmp:="0000”;Elsetmp:=tmp+1;Endif;Endif;Endif;q<=tmp;Endprocess;Endchw_arc;扫描控制模块Libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;EntitycntaisPort(clk:instd_logic;--端口定义输入信号q:outstd_logic_vector(3downto0));--端口定义输出信号Endcnta;ArchitecturecountofcntaisSignallie:std_logic_vector(3downto0);BeginProcess(clk)beginIfclk'eventandclk='1'thenIflie="1111"thenLie<="0000";ElseLie<=lie+1;Endif;Endif;q<=lie-1;Endprocess;Endcount;显示控制模块LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYcoraISPORT(ch:INSTD_LOGIC_VECTOR(3downto0);sel:INSTD_LOGIC_VECTOR(3downto0);q:OUTSTD_LOGIC_VECTOR(15downto0));ENDcora;ARCHITECTUREcora_arcOFcoraISBEGINPROCESS(ch,sel)BEGINCASEchISWhen"0000"=>caseseliswhen"0000"=>q<="0000000000000000";when"0001"=>q<="1111111111111111”;when"0010"=>q<="1111111111111111”;when"0011"=>q<="0000000111000000”;when"0100"=>q<="0000000111000000”;when"0101"=>q<="0000000111000000”;when"0110"=>q<="0000000111000000”;when"0111"=>q<="0000000111000000”;when"1000"=>q<="0000000111000000”;when"1001"=>q<="0000000111000000”;when"1010"=>q<="0000000111000000”;when"1011"=>q<="0000000111000000”;when"1100"=>q<="0000000111000000”;when"1101"=>q<="0000000111000000”;when"1110"=>q<="0000000111000000”;when"1111"=>q<="0000000000000000”;whenothers=>null;endcase;When"0001"=>caseseiiswhen"0000"=>qv=”0000000000000000”;when"0001"=>q<="0000001111000000";when"0010"=>qv=”0000011001100000”;when"0011"=>qv=”0000110000110000”;when"0100"=>qv=”0000110000110000”;when"0101"=>q<="0001110000111000";when"0110"=>q<="0001110000111000";when"0111"=>q<="0011110000111100";when"1000"=>q<="0011111111111100";when"1001"=>q<="0011111111111100";when"1010"=>q<="0011100000111100";when"1011"=>q<="0011100000011100";when"1100"=>q<="0011100000011100";when"1101"=>q<="0011100000011100";when"1110"=>q<="0011100000011100";when"1111"=>q<="0011100000011100";whenothers=>null;endcase;When"0010"=>caseseiiswhen"0000"=>qv=”0000000000000000”;when”0001”=>q<=n111110000000111ln;when"0010"=>q<="l111110000001111";when"0011"=>q<="l111011100001111";when"0100"=>q<="l111011100001111";when"0101"=>q<="l111001110001111";when"0110"=>q<="l111001110001111";when"0111"=>q<="l111000111001111";when"1000"=>q<="l111000111001111";when"1001"=>q<="1111000111001111";when"1010"=>q<="1111000011101111”;when"1011"=>q<="1111000011101111”;when"1100"=>q<="1111000011101111”;when"1101"=>q<="1111000001111111”;when"1110"=>q<="1111000000111111”;when"1111"=>q<="0000000000000000”;whenothers=>null;endcase;When"0011"=>caseseliswhen"0000"=>q<="0000000000000000”;when"0001"=>q<="1111111111111111”;when"0010"=>q<="1111111111111111”;when"0011"=>q<="1110000000000000”;when"0100"=>q<="1110000000000000”;when"0101"=>q<="1110000000000000”;when"0110"=>q<="1110000000000000”;when"0111"=>q<="1110000000000000”;when"1000"=>q<="1110000000000000”;when"1001"=>q<="1110000011111111”;when"1010"=>q<="1110000011111111”;when"1011"=>q<="1110000000000111”;when"1100"=>q<="1110000000000111”;when"1101"=>q<="1111111111111111”;when"1110"=>q<="1111111111111111”;when"1111"=>q<="0000000000000000”;whenothers=>null;endcase;When"0100"=>caseseliswhen"0000"=>q<="0000000000000000”;when"0001"=>q<="0111111111111111”;when"0011"=>q<="0000000111000000";when"0100"=>q<="0000000111000000";when"0101"=>q<="0000000111000000";when"0110"=>q<="0000000111000000";when"0111"=>q<="0000000111000000";when"1000"=>q<="0000000111000000";when"1001"=>q<="0000000111000000";when"1010"=>q<="0000000111000000";when"1011"=>q<="0000000111000000";when”1100”=>q<=n0111100111000000n;when"1101"=>q<="0011110111000000";when"1110"=>q<="0001111111000000";when"1111"=>q<="0000000000000000";whenothers=>null;endcase;When"0101"=>caseseiiswhen"0000"=>q<="0000000000000000";when"0001"=>qv=”0000000000001000”;when"0010"=>q<="0111111111111100";when"0011"=>q<="0000000110000000";when"0100"=>qv=”0000000110000000”;when"0101"=>qv=”0000000110000

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论