基于VHDL的交通灯设计(EDA课程设计报告)_第1页
基于VHDL的交通灯设计(EDA课程设计报告)_第2页
基于VHDL的交通灯设计(EDA课程设计报告)_第3页
基于VHDL的交通灯设计(EDA课程设计报告)_第4页
基于VHDL的交通灯设计(EDA课程设计报告)_第5页
已阅读5页,还剩2页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、 EDA课程设计实验报告交通信号控制器的VHDL的设计一、设计任务及要求:设计任务:模拟十字路口交通信号灯的工作过程,利用实验板上的两组红黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。要求:(1)交通灯从绿变红时,有4秒黄灯亮的间隔时间;(2)交通灯红变绿是直接进行的,没有间隔时间;(3)主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒;(4)在任意时间,显示每个状态到该状态结束所需的时间。支干道OOOITOC o 1-5 h zOO主干道OOOOOI图1路口交通管理示意图ABCD主干道父通灯绿(40秒)黄(4秒)红(20秒)红(4秒)支干道交通灯红红绿黄表1交通信号灯的4种状态设

2、计要求:(1)采用VHDL语言编写程序,并在QUARTUSII工具平台中进行仿真,下载到EDA实验箱进行验证。2)编写设计报告,要求包括方案选择、程序清单、调试过程、测试结果及心得体会。二设计原理1、设计目的:学习DEA开发软件和QuartusII的使用方法,熟悉可编程逻辑器件的使用。通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制2设计说明(1)第一模块:elk时钟秒脉冲发生电路在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指挥交通的。因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统正常运作。模块说明:系统输入信号:Clk

3、:由外接信号发生器提供256的时钟信号;系统输出信号:full:产生每秒一个脉冲的信号;(2)第二模块:计数秒数选择电路计数电路最主要的功能就是记数负责显示倒数的计数值,对下一个模块提供状态转换信号。模块说明:系统输入:full:接收由clk电路的提供的1hz的时钟脉冲信号;系统输出信号:tm:产生显示电路状态转换信号tl:倒计数值秒数个位变化控制信号th:倒计数值秒数十位变化控制信号(3)第三模块:红绿灯状态转换电路本电路负责红绿灯的转换。模块说明:系统输入信号:full:接收由clk电路的提供的1hz的时钟脉冲信号;tm:接收计数秒数选择电路状态转换信号;系统输出信号:comb_out:负

4、责红绿灯的状态显示。4)第四模块:时间显示电路本电路负责红绿灯的计数时间的显示。模块说明:系统输入信号:tl:倒计数值秒数个位变化控制信号;th:倒计数值秒数十位变化控制信号;系统输出信号:led7s1:负责红绿灯的显示秒数个位。led7s2:负责红绿灯的显示秒数十位。三、设计方案图2交通信号灯控制器的原理框图采用VHDL语言输入的方式实现交通信号灯控制器图3交通信号灯控制器程序原理框图该程序由7个进程组成,进程P1和P2将CLK信号分频后产生1秒信号,进程P3、P4、P5构成两个带有预置数功能的十进制计数器,其中P4产生允许十位计数器计数的控制信号。进程P6实现状态转换和产生状态转换的控制信

5、号,进程P7产生次态信号和信号灯输出信号,以及每一个状态的时间值。四程序清单:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYtrafficISPORT(clk:instd_logic;led7s1:outstd_logic_vector(6downto0);led7s2:outstd_logic_vector(6downto0);comb_out:outstd_logic_vector(5downto0);END;ARCHITECTUREoneOFtrafficISTYPEdmIS(s0,

6、s1,s2,s3);SIgnalcurrent_state,next_state:dm;SIGNALFULL:STD_LOGIC;SIGNALtl:STD_LOGIC_VECTOR(6DOWNTO0);SIGNALth:STD_LOGIC_VECTOR(1DOWNTO0);SIGNALtm:STD_LOGIC_VECTOR(6DOWNTO0);SIGNALTIME:STD_LOGIC_VECTOR(6DOWNTO0);BEGINP_REG:PROCESS(CLK)VARIABLECNT8:STD_LOGIC_VECTOR(7DOWNTO0);BEGINIFCLKEVENTANDCLK=1THE

7、NIFCNT8=11111111THENCNT8:=01111111;FULL=1;ELSECNT8:=CNT8+1;FULL=0;ENDIF;ENDIF;ENDPROCESSP_REG;PROCESS(full)BEGINIFfullEVENTANDfull=1THENIFTIME1000011THENTIME=TIME+1;ELSeTIME=0000000;ENDIF;ENDIF;ENDPROCESS;REG:process(full,current_state)BEGINIFfull=1ANDfullEVENTTHENcurrent_statecomb_out=001100;tm=39-

8、time;iftime=39thennext_state=s1;elsenext_statecomb_out=010100;tm=43-time;iftime=43thennext_state=s2;elsenext_statecomb_out=100010;tm=63-time;iftime=63thennext_state=s3;elsenext_statecomb_out=100001;tm=67-time;iftime=67thennext_state=s0;elsenext_state=30THENth=11;tl=20THENth=10;tl=10THENth=01;tl=tm-1

9、0;ELSEth=00;tlled7s1led7s1led7s1led7s1null;endcase;casetliswhen0000000=led7s2led7s2led7s2led7s2led7s2led7s2led7s2led7s2led7s2led7s2null;endcase;endprocess;end;五仿真结果(如下图)KiMLiffiItEOliUjoJitrr】t占r+uESQJ陶LJwd(2)wdt-3TJTJTJ1J1J.7J“R伍卩卩於卩7二.3:亠亠.亠亠亠.亠4111.1.111亠j二亠.亠亠亠亠亠亠11.1.1一_J_11111111111I一I1一一|11L1

10、|1|111L1|111mif(ni);c(niT血):血XuniWXun):丨!KC1OOtiKIIr1订uii_LL1六引脚设置接下来就要选择目标器件并对相应的引脚进行锁定了,我们选择的器件为cyclone系列的EP1C3T144芯片,引脚锁定方法如下图所示。将未使用的管脚设置为三态输入(一定要设置,否则可能会损坏芯片)。本实验只能用采用模式6下载调试,一切正常UOitl?oei5CdT5FirkjGinagsqmrts.Narti7xr4DriiJti-iLlGiionpO5h肚idPKAh-edGtiJfi陥附口曲PdtSLM也FUJ.M3B3jn旳it)Z伸cddLT(Si-tipj

11、:PfNJUjJ-VLVnL|fjdr)皿urm】3总(Mklf+JFWJQ5$B3m鬧屮LvnUdtfiJD血i肢Q4咨oiufsWtFWJM3Mrflookirf5.i5WoWIFirts3测打*&!!邮训oofcuriEuJ.6aijLi1CdpJt3HIJfl13屮LVTTLIitfM)dAwifS.Oj7总uiuDrajw3B3N咼屮LVTTLIde旳JQixiliilK.a8IFhBHtFWJ1BLJfl3*LnJdBf3it:i9总-scgLlfcCdput幻*LVTTLI卅昭$icgLL.DLD&agL5HLVnUdefiJi灣UMLJM細用爲屮LnJttf叙r)彌mLZWWQ

12、L闊1:畑FU币:阳屮川11邮训scgllML30icgLlECdput3H1JC13屮LVTTLIitfM)wglL.DjL+&河LWt3EHN2阴屮LvmidtfijO冏弧L5翎L【ClWPINJI3KK阳*陀1柑型蒯弧1Lb0两Cdput3H1N2JJLVmiEtfadl)1.LT&agZfZWtFfflJG3B3N2阴屮LvmidtfiJi)姒M.DflNLB圖CUp/FUJ.JB3M.N2旳it)解依D4LPtf沁CttpZ砂3BLN2揣屮LVIL邮训annoie-七实验心得体会通过这次课程设计,我进一步加深了对电子设计自动化的了解。并进一步熟练了对QuartusII软件的操作。在编写程序的过程中,遇到了很多问题,使我发现自己以前学习上存在的不足。通过与同学探讨和请教老师,终于把问题都解决了,并加深了对交通灯原理和设计思路的了解。同时也掌握了做课程设计的一般流程,为以后的设计积累了一定的经验。做课程设计时,先查阅相关知识,把原理吃透,确定一个大的设计方向,在按照这个方向分模块的把要实现的功能用流程图的形式展示。最

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论