eda分频器程序的_第1页
eda分频器程序的_第2页
eda分频器程序的_第3页
eda分频器程序的_第4页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、实验三:整数分频器的设计一 实验目的了解利用类属设计可配置的重用设计技术。二 实验原理利用类属n 可以改变分频器的分频系数,输出q的频率是输入信号clk频率的1/n。通过给n赋不同的值,就可以得到需要的频率。三 实验器材EDA实验箱四 实验内容参考教材第5章中5.3.1,完成整数分频器的设计。修改5.3.1中的分频系数n,观察仿真波形和实验箱输入/输出信号的变化。在试验系统上硬件验证分频器的功能。在实验三中,建议将实验箱的实验电路结构模式设定为模式7。输入信号clk由键7的输出来模拟,输入信号reset_n由键8模拟,输出信号q接至LED:D14。当然,也可以由实验者设定到其它功能相似的键或者

2、LED。 代码:(参考教材第5章中5.3.1)library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity div is generic(n:integer:=8); port(clk,reset_n:in std_logic; q: out std_logic);end div;architecture behavl_div of div is signal count:integer range n-1 downto 0;begin process(reset_n,clk) begin if reset_n=0 then q=0; count=n-1; elsif(clkevent and clk=1 and clklast_value=0)then count=(n/2)then q=0; else q=1; end if; if count=0 then count=n-1; end if; end if; end process;end behavl_div;五 实验注意事项 1.编写代码时一定要书写规范 2模式的选择和引脚的

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论