Debussy调试工具理解_第1页
Debussy调试工具理解_第2页
Debussy调试工具理解_第3页
Debussy调试工具理解_第4页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、Debussy调试工具理解Debussy产品简介由NOVASoftware,Inc(思源科技)发展的HDLDebug&Analysistool非常实用的VerilogVHDL调试工具,可以帮助设计者快速理解复杂的设计(设计小组中别人开发的复杂、不熟悉的代码或者IP),查找和定位设计中存在的bug,提高效率,缩短产品上市时间。主要不是用来跑仿真或看波形,它最强大的功能是:能够在HDLsourcecode、schematicdiagram、waveform、statebubblediagram之间,即时做trace,协助工程师进行调试。组成单元有四个主要单元(component),nTra

2、ce、nWave>nSchemanStatenTrace-超文本连接方式的源代码追踪及分析(为%Debussy&所开启的主画面)nWave-波形分析工具(可由nTrace内开启,或直接nWav&开启)nSchema-原理图生成及分析nState-有限状态机的提取及分析Debussy功能Debussy直接编译VerilogVHDL源代码,并且采用了预综合技术识别电路的单元,可以生成原理图。在导入仿真结果后,可以用ActiveAnnotation在源代码、原理图、状态图上动态显示变量的仿真值。Debussy的输入为:Verilog/VHDL或混合语言源代码,仿真波形文件,SD

3、F支持的波形格式:vcd,fsdb(fastsignaldatabase,比vcd文件小5-50倍),模拟波形文件(PowerMill,TimeMill,Avant!Star-Sim,SmartSpice)支持的仿真器:Verilog-XL,NC-verilog,NC-VHDLLeapfrog,Modelsim,VCSPloaris关于FSD玳件格式Debussy支持的波形格式是fsdb,是FastSignalDatabase的简写。Debussy也可以读取vcd文件,在它读入vcd文件时,先自动把vcd文件转换成fsdb文件,然后再读入debussy。Debussy提供的新的波形文件格式FS

4、D评目比于VC曲式,压缩量大,加载速度快。Debussy提供了PLI(forVerilog)和FLI(forVHDL)接口,我们可以在仿真时直接导出FSDBC件。Debussy也提供了用于转换VCDt件为FSDBC件的程序(vfast)。当用nWave导入VC改件时,自动调用vfast转换成FSD求件nWave可以单独启动用来查看波形。作为一个波形查看工具,nWavelf当不错nWave的使用的使用1 .在ImportDesign完成之后,进入InteractiveMode。2 .选择SimulationRun/Continue,完成simulation后即产生"verilog.fsdb”文档,按NewWaveformicon,出现nWaveB窗。3.按 Get Signalsijid4 .选择欲观察的signal,用中键拖拽到右边的窗口。5 .按下OK即可将彳t号加入nWave中,以便追踪观察信号,如图所不。快捷键L:ReloadDesignCtrl+e:ActiveTraceCtr

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论