流水灯VHDL(精编版)_第1页
流水灯VHDL(精编版)_第2页
流水灯VHDL(精编版)_第3页
已阅读5页,还剩3页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、基于 vhdl流水灯的设计电子信息科学与技术刘敏 何磊 成江波一、 设计内容流水灯是一串按一定的规律像流水一样连续闪亮,流水灯控制是可编程控制器的一个应用, 其控制思想在工业控制技术领域也同样适用。流水灯控制可用多种方法实现, 但对现代可编程控制器而言, 基于 eda 技术的流水灯设计也是很普遍的。要求采用可编程逻辑器件实现一个流水灯控制电路,12个 led灯能连续发出 4 种不同的流水显示形式。 彩灯控制器的第 1 种花样为彩灯按顺时针方向逐次点亮; 第 2 种花样为彩灯按逆时针方向逐次点亮,然后全灭全亮; 第 3 种花样为彩灯两边同时亮1、2、3、4、5、6 个逐次向中间移动再散开;第4

2、种花样为彩灯连续交叉闪烁。多个花样自动变换,循环往复。二、 设计方案彩灯是由 fpga 板上的 led灯代替,有以下 4 种闪烁效果1. 彩灯按顺时针方向逐次点亮。2. 彩灯按逆时针方向逐次点亮,然后全灭全亮。3. 彩灯两边同时亮 1、2、3、4、5、6 个逐次向中间移动再散开。4. 彩灯连续交叉闪烁。本控制电路采用 vhdl语言设计。运用自顶而下的设计思想,按功能逐层分割实现层次化设计。 根据多路彩灯控制器的设计原理,分别对应彩灯的四种变化模式、利用 vhdl 语言实现该功能 .三、 系统框图脉冲信号顺序脉冲译码逻辑电路流水灯闪烁四、 流水灯设计程序library ieee;use led1

3、 is port( sysclk: in std_logic; dout: out std_logic_vector(11 downto 0) );end led1;architecture jgt of led1 issignal cnt : std_logic_vector ( 7 downto 0);-variable count:integer range 0 to 7;signal count : std_logic_vector(5 downto 0); beginprocess(sysclk)begin if ( sysclkevent and sysclk = 1) thenc

4、nt = cnt + 1;if (cnt = ) thencnt = 00000000 ;count dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout

5、 dout dout dout dout dout dout dout dout dout dout dout dout dout dout null;end case;end process;end jgt; 五、 系统仿真与调试1. 仿真波形图通过 quartusii软件,我们进行了仿真,其仿真波形如下图:图一 : 仿真波形图由设计要求可知,本设计要求采用可编程逻辑器件实现一个流水灯控制电路,12 个 led灯能连续发出4 种不同的流水显示形式。彩灯控制器的第1 种花样为彩灯按顺时针方向逐次点亮; 第 2 种花样为彩灯按逆时针方向逐次点亮,然后全灭全亮; 第 3 种花样为彩灯两边同时亮 1

6、、 2、3、4、5、6 个逐次向中间移动再散开;第4 种花样为彩灯连续交叉闪烁。多个花样自动变换,循环往复。从仿真的波形可以看出,实现了相应的功能。2. 电路原理图在 quartusii软件中利用硬件描述语言描述电路后,用 rtl viewers 生成的对应的电路图如下所示:+a7.0b7.0adder+a5.0b5.0adderdqpreenaclrdqpreenaclr=a7.0b7.0equalsel5.0data63.0outmuxsel5.0data63.0outmuxsel5.0data63.0outmuxsel5.0data63.0outmuxsel5.0data63.0outm

7、uxsel5.0data63.0outmuxsel5.0data63.0outmuxsel5.0data63.0outmuxsel5.0data63.0outmuxsel5.0data63.0outmuxsel5.0data63.0outmuxsel5.0data63.0outmuxseldataadatabout0mux21add08 h01 -cnt7.0equal08 hf7 -mux264 haaaa255df6ffddff -mux364 h55552b5eeeffbeff -mux464 haaaa1bbf5eff7f7f -mux564 h55555bbfbefeffbf -mu

8、x664 haaaadbbfbefdffdf -mux764 h55559bbf5efbffef -mux864 haaaaab5eeef7fff7 -mux964 h5555a55df6effffb -mux1064 haaaaa4ebfadffffd -mux1164 h5555a4e7fcbffffe -sysclkdout11.0cnt7.08 h00 -mux164 h555524ebfaffebff -mux064 haaaa24e7fcfff7ff -count5.0add16 h01 -图二: 用 rtl viewers 生成的电路图六、设计总结通过本次设计明白了vhdl 语言

9、的实用性,同时对quartusii有了进一步的了解。虽然在设计过程种遇到了许多麻烦,比如语言编写的错误, 思路想法的偏离. 但通过问同学,老师,以及上网了解后最终还是纠正了这些错误。不过通过不断的检查和咨询, 最终还是编写成功了。 也通过本次彩灯设计让我知道了日常生活种各种花样灯的工作模式,希望能够通过接下来的学习, 自己能够设计出生活中实用的样式灯。通过这次课程设计, 可以很好的把各个章节的模块融合到一起,对以后的学习,设计很有帮助,感觉自己在动手操作方面有质上的飞跃。不仅掌握quartusii软件的使用,与此同时,还对电子设计的思路有了更多的认识。通过对 eda设计中的 top-down设

10、计方式的运用,体会到了对于一个大型系统的设计方案选取应从顶向下的设计思路,这与传统的至底向上的设计方式有很大改进,且设计效率得到大大提高。 通过这次的实验, 理解了电子技术设计的设计多种方法和流程,夯实了 quartusii的操作流程。很显然,任何的实践活动,都不可能闭门造车, 是必须去吸取前人的实践经验,这就要求在课程设计的过程中,从网络上,从图书馆,借寻相关资料书籍等,有力地指导课程设计。这就要求在最后的大学时间里, 要继续夯实相关的理论知识,继续多动手操作, 提高具体的实践操作能力,为即将毕业的工作出路,做好充分的准备。七、参考文献1 许飞.eda技术与实践 m, 北京: 清华大学出版社, 20112 黄智伟 . 全国大学生电子设计竞赛制作实训m, 北京 : 北京航空航天大学出版

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论