vhdl语言设计一个8421bcd码的24进制计数器_第1页
vhdl语言设计一个8421bcd码的24进制计数器_第2页
vhdl语言设计一个8421bcd码的24进制计数器_第3页
vhdl语言设计一个8421bcd码的24进制计数器_第4页
vhdl语言设计一个8421bcd码的24进制计数器_第5页
已阅读5页,还剩2页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、专业技能训练4题目用vhdl设计8421bcd码24进制计数器班级:电子科学与技术1201 姓名:王启正学号:120803039时间: 2015.52015.6一、技能训练项目名称运用vhdl语言进行编程设计一个8421b码24进制计数器二、实训目的1. 熟练掌握quartus ii软件的使用。2. 熟练掌握在quartusii平台上用原理图或者vhdl语 言进行电路设计的方法。3. 学会用例化语句对eda电路设计中顶层电路进行描述三、实训要求1. 熟悉仿真开发软件quartus ii的使用;2. 根据功能要求,用原理图或文本输入方式完成设计;3. 用quartus ii做波形仿真调试;4.

2、下载至eda试验仪调试设计。四、基本原理(附源程序清单,原理图、rtl图)1、通过vhdl语言编程方法程序清单:library ieee;use ieee. std logic 1164. all;use ieee. std_logic_arith. all;use ieee. std_logic_unsigned. all;-时钟entity 1 in is port(clk :in std logic;en : in stdlogic;一使能端cr:in std_logic;清零端,低电平有效ld:in std_logic;数据载入控制,低电平有效d :in std_logic_vecto

3、r(5 do丽to 0);载入数据端 co : out std_logtc;进位q :out stdjj)gic_vector(5 downto 0)计吋输出 );end lin ;architecture a of lin is signalqn : sti)_l0gtc_vect0r(5 downto 0);begin进位控制c0= 1 when(qn=x"23 and en= 1)else 0 ;process(clk, cr)beginif (cr=o)ti1enqn="oooooo"elseif (clkevent and clk二 1) thentf

4、(li)=,0,) then数裾加载qn二d;elsif(en=l)thenif (qn(3 downto 0)=3 and qn(5 downto 4)=2) or qn(3downto 0)=9 thenqn(3 downto 0)=0000;一个位数进位if qn(5 downto 4)=2 thenqn(5 downto 4)=00;一十位数进位elseqn(5 downto 4)<= qn(5 downto 4)+1;end if;elseqn(3 downto 0)<= qn(3 downto 0)+1;end if :end if;end if :end if;end

5、 process;q<=qn;end a;2、原理图:31兑级诊參|®| 穸吻卜o tv钞效|邊o® count24.bdf3、rtl 图:預he a viw project assignmerts ptocc$5ing look iijndow jjdp gf u 0icount24五、仿真调试:namevalue 11.8£ps8.0 us16.卜24.0us32.0 us40.0 11.875 nsj咏2cra da i1f4-d5a ii n j n n m u u i i15-d4a ii i: h h n i n i n16-d3a i门 h rui_rm m rh n_-d2a i_n_lthhi 了 i_m rr沙8-dla ihihii 丄 h l19“0a il:ii: : ml110eha i 11lua ii s s s h h n h n i卷120 qa iu 30 l 爾eoi_调试过程中,输出波形为24进制波形图。六、体会实验过程中通过采用vhdl语言编辑代码实现一个24进 制计数器,观察输出波形,得到正确的输出结果,以及在实 验过程中遇到问题自己的调试过程,使得我更加熟练的掌握 了 quartusii软件的正确使用方法以及操作技巧,也练习了 采用vhdl语言例化语句定义硬件的顶层结构,熟悉了 eda 编

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论