EDA技术实验报告七人表决器完美版_第1页
EDA技术实验报告七人表决器完美版_第2页
EDA技术实验报告七人表决器完美版_第3页
EDA技术实验报告七人表决器完美版_第4页
EDA技术实验报告七人表决器完美版_第5页
已阅读5页,还剩9页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、广东工业大学课程设计任务书题目名称硬件描述语言与EDA技术实践学生学院专业班级姓 名学 号一、课程设计的内容1. 系统功能分析;2. 实现系统功能的方案设计;3. 编写各功能模块VHDL语言程序;4. 对各功能模块进行编译、综合、仿真和验证;5. 顶层文件设计;6. 整个系统进行编译、综合、仿真和验证;二、课程设计的要求与数据1. 按所布置的题目要求,每一位学生独立完成全过程;2. 分模块层次化设计;3. 各功能模块的底层文件必须用VHDL语言设计,顶层文件可用VHDL语言设计,也可以用原理图设计。三、课程设计应完成的工作1. 所要求设计内容的全部工作;2. 按设计指导书要求提交一份报告书;3

2、. 提交电子版的设计全部内容:工程目录文件夹中的全部内容,报告书四、课程设计进程安排序号设计各阶段内容地点起止日期1布置设计题目和要求;收集相关资料。工3317或宿舍12.52方案分析与确定;编写VHDL源程序。工3317或宿舍12.63编写VHDL源程序;编译、综合、仿真、定时分析、适配。工3317或宿舍12.74下载和硬件验证;验收。工331712.85下载和硬件验证;验收;撰写报告工331712.9678五、应收集的资料及主要参考文献1. 陈先朝,硬件描述语言与EDA技术实践指导书,2011年11月2. 曹昕燕等编著,EDA技术实验与课程设计,清华大学出版社,2006年5月3. 刘欲晓等

3、编著,EDA技术与VHDL电路开发应用实践,电子工业出版社,2009年4月4. 刘昌华等编著,数字逻辑EDA设计与实践:MAX+plus与Quartus双剑合璧,国防工业出版社,2009年5. 刘江海主编,EDA技术课程设计,华中科技大学出版社,2009年1月发出任务书日期: 2011年12月 5日 指导教师签名:计划完成日期: 2011年12月 9日 基层教学单位责任人签章:主管院长签章:七人表决器设计 一、设计目的1.熟悉Quartus II软件的使用。2.熟悉七人表决器的工作原理3.熟悉EDA开发的基本流程。二、设计要求表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行

4、为可行;否则如果否决的票数过半,则认为此行为无效。七人表决器由七个人来投票,当同意的票数大于或者等于4人时,则认为同意;反之,当否决的票数大于或者等于4人时,则认为不同意。实验中用7个拨挡开关来表示七个人,当对应的拨挡开关输入为1时,表示此人同意;否则若拨挡开关输入为0时,则表示此人反对。表决的结果用一个LED表示,若表决的结果为同意,则LED被点亮,;否则,如果表决的结果为反对,则LED不会被点亮。同时用七段显示数码管来显示赞成的人数和反对的人数。三、电路及连线设计管脚分配:实验符号对应附录符号管脚K1K1PIN_28K2K2PIN_29K3K3PIN_30K4K4PIN_31K5K5PIN

5、_38K6K6PIN_39K7K7PIN_40AAPIN_141BBPIN_142CCPIN_143DDPIN_144EEPIN_147FFPIN_148GGPIN_149m_ResultSEG5PIN_190AAPIN_157BBPIN_158CCPIN_159DDPIN_160EEPIN_170FFPIN_172GGPIN_173四、使用说明本设计就是利用芯片ACEX1K系列的EP1K30QC208-3,拨挡开关模块和LED模块来实现一个简单的七人表决器的功能。拨挡开关模块中的sw1sw7表示七个人,当拨挡开关输入为1时,表示对应的人投同意票,否则当拨挡开关输入为0时,表示对应的人投反对票

6、;LED模块中SEG5表示七人表决的结果,当SEG5点亮时,表示一致同意,否则当SEG5熄灭时,表示一致反对。然后数码管SEG1和SEG2分别显示赞成,反对的人数。七个人开始投票表决统计同意的人数j判断j否大于3D1_1点亮并且大板的数码管显示P,QYND1_1熄灭并且大板的数码管显示E,F小板显示统计结果中同意的人数五、流程图设计六、程序设计如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY UG ISPORT(clk:IN STD_LOGIC;am:IN STD_LOGIC_V

7、ECTOR(6 DOWNTO 0); y:OUT STD_LOGIC;y1,y2:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);END ENTITY UG;ARCHITECTURE one OF UG ISSIGNAL q:STD_LOGIC;SIGNAL q1,q2:STD_LOGIC_VECTOR(6 DOWNTO 0);BEGINPROCESS(am) VARIABLE shu:INTEGER;BEGINshu:=0;IF clkEVENT AND clk=1 THENIF am(0)=1 THEN shu:=shu+1;END IF;IF am(1)=1 THEN

8、shu:=shu+1;END IF;IF am(2)=1 THEN shu:=shu+1;END IF;IF am(3)=1 THEN shu:=shu+1;END IF;IF am(4)=1 THEN shu:=shu+1;END IF;IF am(5)=1 THEN shu:=shu+1;END IF;IF am(6)=1 THEN shu:=shu+1;END IF;CASE shu ISWHEN 0 = q=0;q1=;q2 q=0;q1=;q2 q=0;q1=;q2 q=0;q1=;q2 q=1;q1=;q2 q=1;q1=;q2 q=1;q1=;q2 q=1;q1=;q2 q=Z;

9、q1=ZZZZZZZ;q2=ZZZZZZZ; END CASE;y=q;y1=q1;y2=q2; END IF;END PROCESS;END ARCHITECTURE one;七、波形时序仿真八、波形分析当七个人am(6)、am(5)、am(4)、am(3)、am(2)、am(1)、am(0)投票结果为“,则数码管SEG1的结果是“”即显示数字“6”,数码管SEG2结果是“”,显示数字“1”, 此时LED灯亮,投票通过;当七个人投票结果是“”,SEG1显示“3”,SEG2显示“4”,LED灯不亮,投票不通过。以此类推。九、管脚分配十、设计问题与解决方法 在本程序中因为是多路输出,输出存在时序差,产生竞争冒险现象,导致在时序仿真的时候输出波形中产生“毛刺”,为了去除这些“毛刺”,加入一个时钟信号CLK,其周期设置为20ns.十一、设计总结:通过这段时间的EDA课程设计,我更加熟练的掌握了EDA开发的基本流程,熟练的使用Quartus II软件进行编程,在大家的努力之下,我们完成了七人表决器的相关设计,在此我要感谢我的组员和在EDA设计中给于我们很多帮助的老师们。同

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论