[硕士论文精品]基于amba总线协议的apb+bridge设计_第1页
[硕士论文精品]基于amba总线协议的apb+bridge设计_第2页
[硕士论文精品]基于amba总线协议的apb+bridge设计_第3页
[硕士论文精品]基于amba总线协议的apb+bridge设计_第4页
[硕士论文精品]基于amba总线协议的apb+bridge设计_第5页
已阅读5页,还剩51页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

摘要基于AM队总线协议的APBBRIDG设计作者简介李杨,女,1982年9月生,师从成都理工大学陈金鹰教授,2008年6月毕业于成都理工大学信号与信息处理专业,获得工学硕士学位。摘要随着深亚微米工艺日益成熟,集成电路芯片的规模越来越大。数字IC从基于时序驱动的设计方法,发展到基于IP复用的设计方法,并在SOC设计中得到了广泛的应用。在基于LP复用的SOC设计中,片上总线设计是最关键的问题。为此,业界出现了许多片上总线标准。其中,由ARM公司推出的AMBA片上总线受到了广大IP核开发商和SOC系统集成者的青睐,已经成为一种流行的工业标准片上结构。AMBA2O定义了三类总线,包括了AHBADVANCEDHIGLLPERFOMANCEBUS、ASBADVANCEDSYSTEMBUS和APBADVANCEDPERIPHERALBUS。APB中的APB桥APBBRIDGE介于AHB总线和APB总线之间,是连接AHB总线和外部接口的一个重要部件。本文在以龙芯1号CPUGS32S为AHB总线MASTEF的基础上,提出了一种基于蝴BA20总线的APB桥设计方法,主要进行了以下三个方面的研究工作一、比较了各种片上总线标准,分析了铷汀墟O总线规范较其他总线规范的优势,对触订BA20进行了深入的研究,提出了满足以龙芯1号CPUGS32S为AHB总线MASTER的APB桥设计思想,以达到适应单独读写、连续读写和背靠背读写的传输方式。二、对目前业界流行的两种硬件描述语言VHDL和VERII力GHDL进行了分析对比,选择在门级丌关电路描述方面较强的VEM力GHDL语言设计能实现完成以上传输方式的APB桥模块。三、用VERILDGHDL语言编写了测试激励程序TESTBENCH,通过MODELSIM开发软件,对所设计的模块进行了时序仿真。通过QUAJTUSII开发软件,为生成的模块配置下载文件,完成了硬件测试,实现了设计要求。本研究成果完成了AHB传输协议向APB传输协议的转换,实现了低速外设与高速CPU之间的协调模块的设计,在公司产品中得到了应用。关键词AMBA20GS32SAPB桥SOC成都理JL大学硕士学位论文DESIGNOFAPBBRIDGEBASEDONAMBAAIHITECTUREINTRODUCTIONOFTHEAUTHORLIYANG,FAMALE,WASBOMINSEPTEMBER,1982WHOSETUTORWASPROFESSORCHENJINYINGSHE鲈ADUATEDF两MCHENGDUUNIVERSITYOF1ECHNOLOGYINMAJORANDWAS黟ANTEDTHEMASTERDE铲EEINJUNE,2008ABSTRACTWITHTHERAPIDDEVELOPMENTOFTECHNOLOGYINDEEPSUBMICRON,THESCALEOFINTE铲ATEDCIRCUITIC铲OWSINCREASIN出YITHASNOTBEENTHEDAYWHENDI西TALINTEGRATEDCIRCUITBEENDESIGNEDBASEDONTIMINGDRIVING,BUTTHETIMEWHENINTELLECTUALPROPENYIPREUSEBEENTAKENPOPULARLY111ISDESIGNMETHODISWIDELYAPPLIEDINTHESYSTEMONCHIPSOCFIELDEITHERTHEONCHIPBUSDESIGNISMOSTCAREDINIPFEUSEDBASEDSOCDESIGNTHUS,SEVERALBUSSLANDARDSWEREPROPOSEDALONGTHOSESTANDARDS,THEADVANCEDMICROCONTROLLERBUSARCHILECTUREAMBAISTHEMOSTFAVORED。ITHASBEENTHEPOPULARINDUSTRYSTANDARDTHEAMBA2ODEFINEDTHREECLASSOFBUS,INCLUDINGADVANCEDHJ;HPE0NNANCEBUSAH丑,ADVANCEDSYSTEMBUSASBANDADVANCEDPERIPHERALBUSAPB,陆EAPBBRIDGEISONEPANOFAPBITISBETWEENAHBANDAPBBUS,ASACRITICALPANTOCONNECTAHBANDOTHERPERIPHERALSTHISDISSENATIONISBASEDONTHEGS32SCPUOFGODSON1ASTHEAHBMASTERAMETHODTODESIGNTHEAPBBRIDGEISP11POSED,ANDDOSESSOMERESEARCH丘OM3PANSASFOLLOWSFIRST,THEDI骶RENTONCHIPBUSST柚D莉SARECOMPAREDRESEARCHONTHEAMBIA20ISDONEDEEPLY;ANDTHEADVANTAGEOFTHESTANDARDISANAIYZEDACONCRETEMETHODTODESI印THEAPBBRIDGEISPUTFBNL,ARD,WHICHC卸MEETSEQUENTIAL,N0NSEQUENTIAL,ANDBACKTOBACKTMNSFERMODESEC0ND,AFTERTHECOMPARISONANDANALYSISONVHDLVERYHI曲一SPEEDINTE伊ATEDCIRCUITHARDWAREDESCFIPTIONLANGILAGEANDVERILOGHDLTHELATTERISCHOUSEDFORITSA1VANTAGEATDESCFIPTIONTHEGATELEVELSWITCHINGCIRCUITTHIRD,THETESTBENCHWITHVRERILOGHDLWASWRITTENFORTHEDESIGNEDMODULE,ANDTHETIMINGSIMULATIONWASCOMPLIEDINMODELSIMDEVEL叩INGSYSTEMTLHEDOWNLOADFILEWASCONFIGUREDFORINTHEQUARTUSIIDEVELOPINGSYSTEMT1LEMODULEPASSEDTHEHAFDWARETESL,REALIZEDDESIGNREQUESTTHERESEARCHACHIEVEMENTHASACCOMPLISHEDTHEC0IERSIONF硒MAHBTOAPB,REALIZEDTHECOORDINATI咖BE觚EENLOWERPERIPHERALSANDHIGLLERCPUITHASHIGLLER印PLIEDVALUEINDEVELOPMENT,APPLICATION觚DFURTHERRESEARCHOFEMBEDDEDSOCKEYWOI;DSAMBA20GS32SAPBBRIDGPSOC独创性声明本人声明所呈交的学位论文是本人在导师指导下进行的研究工作及取得的研究成果。据我所知,除了文中特别加以标注和致谢的地方外,论文中不包含其他人已经发表或撰写过的研究成果,也不包含为获得盛都理工太堂或其他教育机构的学位或证书而使用过的材料。与我一同工作的同志对本研究所做的任何贡献均已在论文中作了明确的说明并表示谢意。学位论文作者签名磊衲砌年J月廖日学位论文版权使用授权书本学位论文作者完全了解盛壑理王太堂有关保留、使用学位论文的规定,有权保留并向国家有关部门或机构送交论文的复印件和磁盘,允许论文被查阅和借阅。本人授权盛都堡王盔堂可以将学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存、汇编学位论文。保密的学位论文在解密后适用本授权书学位论文作者签名锄学位论文作者导师签名7各4屋潲年【只埔B第1章引言11课题的目的和意义第1章引言在微电子领域技术的日新月异的今天,CMOSCOMPLEMENTARYMETALOXIDESEMICONDUCTOR的集成度将会连续不断地提高。根据摩尔定律,CMOS的集成度为每18个月增长一倍,其物理特征尺寸最低限为35NM,因此片上系统面临着严峻的考验。如今,集成电路设计,已经到了片上系统SOCSYSTEMONCHIP的时代,SOC设计方法一方面提高了系统的性能,缩短了设计周期,另一方面也给SOC设计师们带来了新的挑战。随着深亚微米工艺制造技术的飞速发展,集成电路芯片的规模也将越来越大,目前,在单一ICIILTEGRATEDCIRCUIT芯片中已经允许包含数亿个晶体管。与此同时,IC的设计方法也从基于时序驱动的方式,发展到了基于PINTELLECTLLALPROPENY复用的方式,这种基于IP复用的设计方法已经在SOC设计中得到了广泛的应用。基于IP复用的设计方法的关键是建立片上总线OCB,ONCHIPBUS,片上总线除了必须具有正确、高效和灵活的特点以外,还必须具有可复用性。这样,就可以实现IP核的可移植性和IP核设计的可复用性,就可以充分地利用公共外设核处理器,就可以提高从公共设计平台创建产品的定制化能力。因此,实现OCB的标准化是十分必要的。近年来,许多公司相继制定了一些OCB标准,其中影响较大的有CORECONNECT总线、AMBAADVAILCEDMICROCONTROLLERBUSARCHITECTURE总线、OCP0PENCOFEPROTOC01总线和WISHBONE总线。其中,AMBA总线是ARM公司提出的一个SOC体系机构的丌放标准,用以集成RISC处理器和外设IP核。它独立于处理器和工艺技术,具有高速、低功耗等特点。同样,它也通过定义这样一个针对SOC模块的通用总线,加强了设计的重用性,即采用IP核复用技术简化芯片的设计。AHBTOAPB桥模块是AHB高速总线上的从模块,也是蝴BA系统中,CPU与外围设备通讯过程当中必不可少的部件之一。它也是APB外围总线上唯一的主设备,它提供了高速总线到低速低功耗的APB总线的接口。主要功能是完成AHB传输协议向APB传输协议的转换。基于上述理论,为了满足数字系统设计中对IP核复用的要求,设计的APB桥模块具有可复用性,能嵌入到相关的设计当中,经实验,能与U伽RT等外设很好地完成通信,具有实用性。成都理工入学硕士学位论文12各种SOC片上总线的历史和现状二十世纪末,在微电子及其应用领域发生了一场前所未有的变革,这场变革是由片上系统SOC技术应用和发展引起的。从技术层面看,SOC技术是超大规模集成电路发展的必然趋势和主流,它以超深亚微米VDSMVERYDEEPSUBMJCRON工艺和知识产权IP核复用技术为支撑。SOC是二十世纪九十年代出现的概念。随着时间的不断推移和SOC技术的不断完善SOC的定义也在不断的发展和完善。DATAQUEST定义SOC为ANINTE伊ATEDCIRCUITTHATCONTAINSACOMPUTEEN舀NE,MEMORYANDLO西CONASINGLECHIP,即SOC为包含处理器、存储器和片上逻辑的集成电路。这大致反映了1995年左右SOC设计的基本情况。随着RF电路模块和数模混合信号模块集成在单一芯片中,SOC的定义在不断地完善,现在的SOC中包含一个或者多个处理器、存储器、模拟电路模块、数模混合信号模块以及片上可编程逻辑。因此,SOC定义的发展和完善过程,也大致反映SOC技术在近十几年的发展趋势。随着超大规模集成电路的迅速发展,半导体工业进入深亚微米时代,器件特征尺寸越来越小,芯片规模越来越大,已经可以在单芯片上集成上百万到数亿只晶体管。如此密集的集成度使我们现在能够在一小块芯片上把以前由CPU和若干IO接口等数块芯片实现的功能集成起来,由单片集成电路构成功能强大的、完整的系统,这就是我们通常所说的片上系统SOC。由于功能完整,SOC逐渐成为嵌入式系统发展的主流。121SOC与板上系统的比较SOC与传统的板上系统相比较,具有许多优点1、充分利用P技术,减少产品设计复杂性和开发成本,缩短产品开发的时间;2、单芯片集成电路可以有效地降低系统功耗;3、减少芯片对外引脚数,简化系统加工的复杂性;4、减少外围驱动接口单元及电路板之间的信号传递,加快了数据传输和处理的速度;5、内嵌的线路以减少甚至避免电路板信号传送时所造成的系统信号串扰。SOC的设计过程中,最具特色的是LP核复用技术。即选择所需功能的IP核是一段具有特定电路功能的硬件描述语言程序,该程序与集成电路工艺无关,可以移植到不同的半导体工艺中去生产集成电路芯片,集成到一个芯片中用。由于IP核的设计千差万别,IP核的连接就成为构造SOC的关键。片上总线2第1章引言ONCHIPBUS,OCB是实现SOC中IP核连接最常见的技术手段,它以总线方式实现LP核之间数据通信。与板上总线不同,片上总线不用驱动底板上的信号和连接器,使用更简单,速度更快。一个片上总线规范一般需要定义各个模块之间初始化、仲裁、请求传输、响应、发送接收等过程中驱动、时序、策略等关系。由于片上总线与板上总线应用范围不同,存在着较大的差异,其主要特点如下1、片上总线要尽可能简单。首先结构要简单,这样可以占用较少的逻辑单元;其次时序要简单,以利于提高总线的速度;第三接口要简单,如此可减少与LP核连接的复杂度。2、片上总线有较大的灵活性。由于片上系统应用广泛,不同的应用对总线的要求各异,因此片上总线具有较大的灵活性。其一,多数片上总线的数据和地址宽度都可变,如AMBAAHB支持32位128位数据总线宽度;其二,部分片上总线的互连结构可变,如WJSHBONE总线支持点到点、数据流、共享总线和交叉开关四种互连方式;其三,部分片上总线的仲裁机制灵活可变,如、MSHBONE总线的仲裁机制可以完全由用户定制。3、片上总线要尽可能降低功耗。因此,在实际应用时,总线上各种信号尽量保持不变,并且多采用单向信号线,降低了功耗,同时也简化了时序。上述三种片上总线输入数据线和输出数据线都是分开的,且都没有信号复用现象。片上总线有两种实现方案,一是选用国际上公开通用的总线结构;二是根据特定领域自主开发片上总线。122三种可用的SOC总线如今,片上系统已经成为半导体工业的热点,而开放知识产权的片上系统设计J下在走向实用的阶段。片上系统是在单芯片上实现全部电子系统的集成,通过使多个设备集成在一个芯片上,实现系统级的功能,减少甚至不再需要外部器件的使用,达到应用功能的快速实现、灵活修改以及方便升级,能快速上市,以更短的时间占领市场份额。进行片上系统设计时,首先要考虑的问题是系统的体系结构。为了提高开发模块的重复利用率,降低开发成本,用户采用了片上系统芯片内部总线。与芯片间总线如SPI、12C、UART、并行总线、板卡间总线ISA、PCI、VME、设备问总线USB、1394、RS232不同的是,片上总线为用户提供了一个堪称“理想”的环境片上系统模块间不会面临干扰、匹配等传统问题,但是片上系统的时序要求异常严格。由于OPENCORE和其它致力于开放知识产权OPENINTELLECTUALPFOPERTY的组织的大力推广开发设计了大量基于标准化片上总线的免费模块,用户在片上系统总线的选择上更倾向于采用那些标准化、开放化的方案。目前,基于口3成都理人学硕士学位论文核互连的总线结构较有影响力的三种,也是业界采用比较多的标准化、开放化的总线方案,包括IBM公司的CORECONNECT、ARM的AMBA和SILICORE公司的、矾SHBONE。123AMBA总线的现状目前,AMBA总线的热点是AMBA3AXI。AMBA3AXI协议由30多家公司协作开发,用于满足下一代设计的需要。蝴BA3AXI协议定义了一个单向的通道架构,能够有效地使用寄存器分段实现更高速度的管道连接。凭借对多事务处理和乱序事务处理的支持,再加上对读、写和地址控制通道的有效利用,它能使系统获得更高的性能和效率,系统性能仅受限于其外围设备的自身性能。通道概念的引入使AMBA3AXL架构与以前的AMBA协议有很大的不同。5个独立通道的每一个都由一组信息信号组成,并使用双向W山ID和READY握手机制。信息源使用VAUD信号表明通道上的数据和控制信息何时有效,目的端使用蚴Y信号表明何时能够接收数据。读数据通道和写数据通道都包含一个LAST信号,以显示事务处理中最后一个数据项的传递何时发生。每一个读事物处理和写事物处理都有自己的地址通道,地址通道中包含了事物处理所需的所有地址和控制信息。读数据通道传送读数据和任何由从设备返回到主设备的读响应信息,其数据总线宽度可以是8位1024位,读响应信息表明读事物处理的完成状态。写数据通道传送主设备到从设备的写数据,其数据总线宽度可以是8位1024位。写数据通道还包括1个字节的狭窄通道,对每8个数据位进行过滤,表明数据总线上哪些字节是有效的。对独立数据传送的支持使总线得到了更有效的使用,能获得更高的性能、更低的时延和增强的带宽操作。基于AMBA3AXI协议的复杂性,设计和验证一个基于该标准的子系统变成一项主要工作,并需要大量的专门技术。通过提供给工程师公共的设计和验证IP模块,实现从模块级到子系统级的快速实现和确认,针对AMBA3AXI的DESI舶WAREIP解决方案使设计变得轻松。另外,CORE触SEMBLER工具可实现子系统的自动装配,并自动产生测试平台架构。当使用DESI龋WAREIP解决方案时,设计者能够快速装配、综合和验证基于AMBA3AXI的设计。ARM公司在美国加州旧金山举行的设计自动化大会DESI舯AUTOMATIONCONFERENCE,DAC上宣布推出用于嵌入式系统设计的下一代ARMPRIMECEUAMBA3蝴CONFIGURABLEINTE瑚衄ECTPL301和AMBADESI印EREC0SYSTEMEDITION设计自动化工具。这两款全新的FABRIC系列产品向设计师提供了有效的方法来管理下一代片上系统SOC设计中的片上通信流程,从而使片上系统得性能显著提高,同时节电性能也得到提高。4第1章引言13课题的主要研究内容及思路根据以上SOC片上总线的讨论,可以看出,舢讧BA总线规范由于其设计复杂度适中,既适用于设计较复杂的系统,也能用于设计简单的系统,加上开放免费的IP核支持,迄今为止,AMBA标准被ARM90以上的合作伙伴采用,被20000余名工程师通过ARM的公司网站下载,并已经成功应用于上千个ASLC设计中。在舢订BA总线规范中,APB桥是至关重要的一部分,AHB总线与APB总线的通信必须经过APB桥的协议转换来实现。AHB总线为高性能和高时钟系统而设计,它包含了对处理器、片内存储器、片外存储器和低功耗外设的接口,支持固定长不定长突发BURST传送、分片SPLIT传输特性和多个主设备的总线管理,地址数据分离的二级流水线操作,通过从设备驱动的HRESP和HREADY应答信号控制数据操作的等待周期长度;APB总线是专为降低功耗以及接口复杂性而设计的总线,它常被用于连接一些低带宽、低速传输的外设,它的总线操作包括SELMP和ENABLE两个状态,其中,APB读操作只需在ENABLE状态数据有效,写操作要求写数据在整个写操作期间有效。基于AHB总线与APB总线以上的特性,两种总线的时钟同步问题是APB桥设计的关键。在AMBA2O规范之中,并没有对两者的时钟关系究竟应该取同频还是倍频没有明确地定义。同频的好处在于简单,总线模块的面积较小,稳定性高,而且整个AMBA系统由单个时钟控制,在静态时序分析和综合后布局布线上限制较小,芯片速度较快。倍频是目前AMBA总线设计的流行趋势,它的好处在于1低功耗。由公式PRCV2F,功耗是频率的线性函数,减小APB时钟频率可降低总线以及外围设备的功率功耗。2PCLK时钟频率可变,可以实现更好的功率控制算法。3较低的时钟频率对外围模块的时序要求也较低,使得外围模块的设计目标可以更集中在减小面积而不是保证时序上。不过,倍频设计存在以下几个缺点1因为有两个时钟,总线桥模块的设计必须要增加同步逻辑;2APB总线时钟较慢,所以AHB总线对APB总线的访问会增加一定的等待周期,降低了系统性能;3APB总线频率限制了外围模块的参考时钟的最高频率,这降低了部分传输速度较快的接口,如SSP、UART的最高传输频率。本文主要的研究工作就是针对AHB总线到APB总线接口中APB桥设计的5成都理【大学硕士学位论文要点,从实际使用出发,设计一个可综合的软核。设计的软核基于PRIMECELL算法,实现数据的连续与非连续读写操作,占用较小的芯片资源,具备良好的可以移植性。论文各个章节具体内容如下第一章主要介绍了本课题的目的,阐述了国内外SOC片上总线的研究现状,介绍了时下业界流行的几种SOC片上总线的规范,对比了各种总线规范的异同,得出了AMBA总线的优势,概括了本课题的主要内容与成果,指出该课题的研究成果具有现实实用价值;第二章内容为本课题内容的理论基础;第三章对研发平台做出说明;第四章阐明了实现APB桥的设计方法,介绍设计的APB桥模块并给出了本设计的调试与结果分析的内容;第五章介绍课题设计模块的典型应用实例。14课题取得的主要成果通过对本课题的研究取得了以下的成果1对各种SOC片上总线规范作了深入的研究,尤其是课题设计采用的蝴BA2O总线规范。2深入研究了各种硬件描述语言,比较了各种语言的优势与不足之处,分析了不同的语言在软核的设计上的不同影响范围,选择了在门级开关逻辑描述能力较强而复杂度适中的VERILOGHDL语言作为课题设计的软核描述语言。3掌握了QUANUSII和MODELSIM两个软件开发平台,课题设计的软核正式在这两个软件平台下实现的。4通过使用软件进行调试与分析,综合比较了设计系统参数,为进一步研究指明了方向。6第2章AMBA总线协议与PRILLLECEN算法第2章AMBA总线协议与PRIMECE算法设计人员在设计总线往往会依据两方面的原则一是芯片设计流程其内在的需求;二是所针对的应用对交换带宽、延时、效率灵活性的需求。在芯片设计中,芯片内部总线的设计往往决定了芯片的性能,功耗与各模块设计的复杂度,因此不同的总线结构在系统性能、功耗、设计复杂度等指标上各有不同。AMBA总线的典型应用是将AHB作为处理器、存储器、DMA通道等对时间和性能要求很高的部分而使用的高速总线,而通过桥将外设总线APB连接起来。舢讧BA总线提供一种特殊的机制,可以将RLSC处理器集成在其它IP芯核和外设中,20版的AMBA标准定义了三组总线AHBAMBA高性能总线、ASBAMBA系统总线和APB舢BA外设总线。AHB用来研发宽带处理器芯核的片上总线。21几种总线结构的比较CORECONNECT总线、AMBA总线和、MSHBONE总线是业界目前采用最为普遍的三种SOC总线。这三种总线分别由ARM、IBM和SILICOFE三家公司提出,三种总线各有其优缺点,下面将分别介绍三种总线,并顺便简单介绍舢TEM公司推出的AVALON总线,最后作出综合比较。211CORECONNECT总线CORECONNECT总线规范是IBM公司设计的一种SOC总线协议,它能够使处理器、内存控制器和外设在基于标准产品平台设计中的集成和复用更加灵活,从而提高整个系统性能。CORECONNECT总线采用了总线分段的方式,共提供了三种基本类型的总线处理器局部总线PLBPROCESSORHCALBUS、片上外设总线OPBONCLLIPPERIPHERALBUS和设备控制寄存器总线DCRDEVICECONTROLRE西STER。此外,CORECONNECT还提供连接高性能总线和低性能总线的OPB桥。CORECOILILECT的逻辑总线结构图如图21所示。从图中可以看到,C0RECONNECT总线定义了一个清晰的结构,囊括了所有系统组件和它们之间的连接。CORECONNECT总线结构一共设计了3种总线和1个高性能总线与低性能总线连接的桥,分别是OPB、PLB、DCR总线和OPB桥。7成都理JR大学硕士学位论文黠牢二显,线耳盐斗一窖臌图21CORECONNECT逻辑总线结构OPB总线连接外部设备;PLB总线连接处理器、外部高速缓存和高速存储器,是解决处理器运算瓶颈的总线;DCR总线将所有连接在PLB上的模块通过雏菊花环的方式进行互联配置,通过它来分配配置信息,减少对OPB和PLB总线的带宽占用;OPB桥实现了PLB总线和OPB总线的互联。因为PLB和OPB的性能差异,所以设计中OPB桥在OPB总线端相当于一个主OPB设备,而在PLB总线端则相当于一个从PLB设备。这样在从PLB设备发出信号时,主OPB设备就根据它的可接收情况进行分拆、重发等等。212圳BA总线由ARM公司推出的AMBA总线规范是一种用于高性能嵌入式系统的总线标准。它独立于制造工艺技术,增强了各种应用中的外设和系统宏单元的可重用性。AMBA总线规范是一个开放的标准,可以免费从ARM获得。目前,AMBA拥有众多的第三方支持,被ARM公司90以上的合作伙伴采用,在基于ARM处理器内核的SOC设计中,已经成为广泛支持的现有互连标准之一。AMBA2O总线规范发布于1999年,该规范引入的先进高性能总线AHB是现阶段AMBA实现的主要形式。AHB的关键是对接口和互连均进行定义,目前是在任何工艺条件下实现接口和互联的最大带宽。AHB接口已经与互连功能分离,不再仅仅是一种总线,而是一种带有接口模块的互连体系。劁BA总线的基本结构如下图22所示。J州BA总线是一个多总线系统,8第2章朋订BA总线协议与PRILNECEU算法由图中可以看出,AMBA2O规范中定义了三种可以组合使用的不同类型的总线AHBADVANCEDHIGHPERFO册ANCEBUS、ASBADVANCEDSYSTEMBUS和APBADVANCEDPERIPHERALBUS。APB桥介于AHBASB总线和APB总线之间,是高速的AHBASB与低速的APB之间通信的桥梁。APB桥挂接在AHBASB总线之下,是AHBASB总线的一个从设备SLAVE,同时也可以看出APB桥也是APB总线上唯一的主设备M硒TER。这个总线桥模块将由AHB总线发起的总线传输转换到APB传输。图22圳队总线的基本结构示意图APB总线下挂接了一些低数据吞吐量的外设终端,如图中所示的UART、TIMER、KEVPAD和GPIO等,而高数据吞吐量的外设中断挂接在AHB总线上,如RAM、MAC、DMA、PROCESSOR等。当需要与APB总线上的外设进行通信时,APB桥根据来自AHB总线的信号,由APB桥中的DECODER模块翻译得到一个OPSEL信号,这个信号由APB桥输出到APB总线上,选择由O_PSEL信号确定的一个SLAVE。传输过程中需要用到的其他信号都将由AHB总线发起,由APB总线相应,比如IHADDR地址信号和IHWRITE控制信号。蝴BA总线规范主要设计目的如下1满足具有一个或多个CPU或DSP的嵌入式系统产品的快速开发要求;2增加设计技术上的独立性,确保可重用的多种IP核可以成功地移植到不同的系统中,适合全定制、标准单元和门阵列等技术;3促进系统模块化设计,以增加处理器的独立性;4减少对底层硅的需求,以使片外的操作和测试通信更加有效。典型的基于J蝴BA的SOC核心部分如图22所示。其中,高性能系统总线AHB或ASB主要用于满足CPU和存储器之间的带宽要求。CPU、片内存储器和DMA设备等高速设备连接于其上,而系统的大部分低速外部设备则连接于9成都理L大学硕学位论文低带宽总线APB上。系统总线和外设总线之间用一个桥接器APBBRIDGC进行连接。AMBA总线中的AHB总线适用于高性能和高时钟频率的系统模块。它作为高性能系统的骨干总线,主要用于连接高性和高吞吐量设备之间的连接,如CPU、片上存储器、DMA设备和DSP或其它协处理器等。其主要特性如下1支持多个总线主设备控制器;2支持猝发、分裂、流水等数据传输方式;3单周期总线主设备控制权转换;432128位数据总线宽度;5具有访问保护机制,以区分特权模式和非特权模式访问,指令和数据读取等;6数据突发传输最大为16段;7地址空间32位;8支持字节、半字和字传输。AMBA的ASB适用于高性能的系统模块。在不必要使用AHB的高速特性的场合,可选择ASB作为系统总线。它同样支持处理器、片上存储器和片外处理器接口与低功耗外部宏单元之间的连接。其主要特性与AHB类似,主要不同点是它读数据和写数据采用同一条双向数据总线。AMBA的APB适用于低功耗的外部设备,它已经过优化,以减少功耗和对外设接口的复杂度;它可连接在两种系统总线上。其主要特性如下1非常简单,加上CLOCK和RESET,总共只有4个控制信号;232位地址空间;3最大32位数据总线;4读数据总线与写数据总线分开。213WISHBONE总线WISHBONE最先是由SILICORE公司提出的,现在己被移交给OPENCORES组织维护。由于其开放性,现在已有不少的用户、群体,特别是一些免费的LP核,大多数都采用WISHBONE标准。WISHB咖E总线规范是一种片上系统IP核互连体系结构。它定义了一种IP核之间公共的逻辑接口,减轻了系统组件集成的难度,提高了系统组件的可重用性、可靠性和可移植性,加快了产品市场化的速度。、MSHBONE总线规范可用于软核、固核和硬核,对开发工具和目标硬件没有特殊要求,并且几乎兼容已有的所有的综合工具,可以用多种硬件描述语言来实现。WISHBONE总线规范的目的是作为一种M核之间的通用接口,因此它定义了10第2章舢订BA总线协议与PRIMECE算法一套标准的信号和总线周期,以连接不同的模块,而不是试图去规范IP核的功能和接口。WISHBONE总线结构十分简单,它仅仅定义了一条高速总线。在一个复杂的系统中,可以采用两条、ISHBONE总线的多级总线结构其一用于高性能系统部分,其二用于低速外设部分,两者之问需要一个接口。这个接口虽然占用一些电路资源,但这比设计并连接两种不同的总线要简单多了。用户可以按需要自定义WISHBONE标准,如字节对齐方式和标志位,11AG的含义等等,还可以加上二些其它的特性。WISHBONE的一种互连结构如图23所示。LP核LP核主单元A主单元BJLJLI交叉开关R1RLP核IP核LP核从单元A从单元B从单元C图2_3交叉开关方式总线结构灵活性是WISHBONE总线的另一个优点。由于IP核种类多样,其问并没有一种统一的间接方式。为满足不同系统的需要,WISHBONE总线提供了四种不同的IP核互连方式1点到点POINTTOPOINT,用于两IP核直接互连;2数据流DATANOW,用于多个串行IP核之间的数据并发传输;3共享总线SHAREDBUS,多个口核共享一条总线;4交叉开关CROSSBARSWITCH图23,同时连接多个主从部件,提高系统吞吐量。WISHBONE总线主要特征如下所有应用适用于同一种总线体系结构;是一种简单、紧凑的逻辑IP核硬件接口,只需很少的逻辑单元即可实现;时序非常简单;主从结构的总线,支持多个总线主设备;864位数据总线可扩充;单周期读写;支持所有常用的总线数据传输协议,如单字节读写周期、块传输周期、控制操作及其它的总线事务等;支持多种IP核互连网络,如单向总线、双向总线、基于多路互用的互连网络、基于三态的互连网络等;支持总线周期的正成都理I人学硕士学位论文常结束、重试结束和错误结束;使用用户自定义标记TAG,确定数据传输类型、中断向量等;仲裁器机制由用户自定义;独立于硬件技术FPGA、ASIC、BIPOLAR、MOS等、LP核类型软核、固核或硬核、综合工具、布局和布线技术等。值得一提的是,除了上述三种片上总线外,还有一种总线也具有一定的影响力。AVALON总线是筒TEFA公司设计的用于SOPCSYSTEMONPROGRAMMABLECHIP,可编程片上系统中,连接片上处理器和其它IP模块的一种简单的总线协议,规定了主部件和从部件之间进行连接的端口和通信的时序。AVALON总线的主要设计目的如下简单性,提供一种非常易于理解的协议;优化总线逻辑的资源使用率,将逻辑单元保存在PLDPROFAMMABLELO西CDEVICE,可编程逻辑器件中;同步操作,将其它的逻辑单元很好地集成到同一PLD中,同时避免复杂的时序。传统的总线结构中,一个中心仲裁器控制多个主设备和从设备之间的通信。这种结构会产生一个瓶颈,因为任何时候只有一个主设备能访问系统总线。AVALON总线的开关构造使用一种称之为从设备仲裁SLAVESIDEARBITRATION的技术,允许多个主设备控制器真正地同步操作。当有多个主设备访问同一个从设备时,从设备仲裁器将决定哪个主设备获得访问权。图24是一个多主设备同时访问存储器的例子。在此系统中,高带宽外设,如100M以太网卡,可以不需暂停CPU而直接访问存储器。通过允许存储访问独立于CPU。AVALON开关结构优化了数据流,从而提高了系统的吞吐量。AVALON总线主要特性如下32位寻址空间;支持字节、半字和字传输;同步接口;独立的地址线、数据线和控制线;设备内嵌译码部件;支持多个总线主设备,AVALON自动生成仲裁机制;多个主设备可同时操作使用一条总线;可变的总线宽度,即可自动调整总线宽度,以适应尺寸不匹配的数据;提供了基于图形界面的总线配置向导,简单易用。三种总线特性比较如表21。主单元从单元图24AVAI总线开关结构第2章AMBA总线协议与PRIMECEU算法表21三种总线特性比较窟线彩丝AMBACORECONNECTWISHBONE交叉开关共享总线互连方式共享总线共享总线数据流点到点主控制器多个多个多个数据总线3212832128可864宽度位扩展剑256地址空间位323264数据传输方式字1了半字字字节半字字孚。邙7、羊字7字流水分裂单字1了读写单字节读写块事务传输方式突发传输块突发传输突发传输大端对齐人端对齐大端对齐数据对齐方式小端对齐小端对齐小端对齐仲裁机制系统定义4级仲裁优先权用户定义硬件技术硬什技术硬件技术独立性IP核类型IP核类型IP核类型综合工具无关综合T具无关综合工具无关22AHB总线设计通过上面的比较,考虑到综合性能以及市场需求的因素,课题设计AMBA总线规范中的APB桥更具有现实应用的意义。AHB总线主要包括仲裁器舳ITER、译码器DECODER、多路选择器MULTIPLEXER、虚拟主主设备DUMMYMASTER和缺省从设备DEFAULTSLAVE等功能模块。由于三态总线在综合以及实现中会产生很多问题,所以AHB总线采用了中心多路选择器的设计方案。221仲裁器AHB总线支持多主设备系统,最多可以连接15个主设备。当主设备申请访问AHB总线时,首先向仲裁器发送一个BUSREQUEST信号。如果有多个主设备同时申请访问总线,仲裁器会根据各个主设备优先级的高低,将AHB总线的控制权授予申请访问总线的主设备中优先级最高的那一个,同时向被授权的主设备发送一个GRANT信号。主设备在得到仲裁器的授权后,按照AHB的传输协议,在13成都理F大学硕士学位论文随后的两个周期内分别向AHB总线发送地址控制信号和写数据。仲裁器的优先级表可以采用固定优先级FIXED和循环优先级ROUNDROBIN两种算法。采用固定优先级算法时,可以将TICTESTINTE血CECONTMLLER测试接口的优先级被设为最高,以保证在任何情况下均可以进行AMBA总线的测试;微处理器一般为RAM核的优先级则被设为最低;其他的主设备可以根据实际的需要设定相应得优先级。设计中为提高系统总线的性能,在设计时可以采取减少微处理器请求总线时等待仲裁器授权的时间延迟的方法,在以下两种情况下,微处理器将自动获得总线的控制权1、系统重新启动;2、总线正常工作但没有任何主设备请求访问总线。AHB总线的一个突出特点就是支持SPLIT传输。当AHB总线进行SPLIT传输时,仲裁器将根据优先级表和SPLIT寄存器的状态做出最终的优先级判断。SPLIT寄存器是一个16BIT的寄存器,它可以暂时屏蔽当前SPLIT传输的主设备的优先级,让优先级更低的主设备可以获得总线控制权。AHB总线还支持LOCKED传输。在AHB总线进行LOCKED传输时,仲裁器必须保证当前主设备不会失去对总线的控制权,以确保当前主设备能够顺利地完成传输。仲裁器使用了一个状态机来控制LOCKED传输。这个状态机包括四个工作状态正常状态NO咖A1、锁定状态HCKED、分裂状态SPLIT和最后一个锁定状态LASTBCKED。图25是仲裁器进行锁定传输的状态机。开始正常传输图25仲裁器锁定传输状态转换图14输第2章AMBA总线协议与PRIMECEH算法222译码器译码器的作用是对传输地址进行译码,根据内存映射表MEMORYMAP产生该地址所对应从设备的选择信号。有两种内存映射表正常工作下的内存映射表和重新启动时的内存映射表,其地址内存映射关系如表22所示。表22地址内存映射表地址在正常工作卜的内存映射重启时的内存映射0XC0000000一0XFFFFFFFF未定义朱定义OX800900000XBFFFFFFFAHBAPB桥AHBAPB桥OX300000000X7FFFFFFF外部ROM外部ROM0X00000400一0X2FFFFFFF外部RAM外部RAMOX00090000一0X000003FF内部RAMFLASH在正常工作时,微处理器的从设备,包括内部涨、外部洲、外部ROM和AHBTOAPB桥;当系统重新启动时,系统的内存映射不包括内部RAM,而是将OX0000OOOO至0X000003FF的内存地址段映射为FLASH。这个部分包含系统的启动和初始化代码。当系统启动完成初始化后,舢订BA总线将产生一个REMAP信号,送入译码器,将译码器的当前内存映射表改为正常工作状态下的内存映射表。译码器的内存映射表具有相对的独立性。如果AHB总线上的从设备发生了变化,必须对内存映射表进行修改以确保每个地址都对应正确的从设备。如果传输地址指向了没有定义的内存区域,缺省从设备将被选中,并做出相应的响应。223多路选择器AHB总线采用的是中心多路选择器的设计方案,如图26所示。AHB使用了两种多路选择器主设备到从设备多路选择器M2SMUX和从设备到主设备多路选择器S2MMUX。仲裁器通过M2SMUX选择唯一的一个主设备访问AHB总线;译码器通过S2MMUX选择唯一的一个从设备访问AHB总线。因为AHB总线具有流水线的特性,地址控制信号和数据信号总是相差一个时钟周期,所以AHB总线上使用两个M2SMUX,分别用于地址控制信号和数据信号。成都理,大学硕士学位论文图26A帅的中心多路选择器方案图223虚拟主设备和缺省从设备为了保持总线时序的同步性,在AHB的设计中引入了虚拟主设备。当AHB进行LOCKED传输时,因为传输已经被锁定不能被中断,所以其它的主设备将不允许获得总线的访问权。如果在LOCKED传输同时进行SPLIT传输,那么仲裁器则把总线的控制权交给虚拟主设备。虚拟主设备仅仅是将总线的控制权交给虚拟主设备;虚拟主设备仅仅是将总线传输类型设为IDLE,以保持总线传输的连续性,并不进行其它任何实质性的数据传输。当从设备准备好发出UNSPLIT信号后,原主设备恢复总线控制权,继续完成LOCKED传输。当AHB的传输地址指向内存映射表中未定义的区域时,缺省从设备将被选中。如果当前的传输类型为IDLE或者BUSY时,说明主设备并没有进行数据传输,缺省从设备则做出0亿W响应,以保证传输的连续性;如果当前的传输类型为NONSEQUENTL气L或者SEQUENT认L,则表明主设备已经发生了数据传输,缺省从设备做出ERROR响应,提示主设备发生了传输错误。23APB总线设计APB总线主要包括AHB脚B桥,用于测试的APB从设备和一些外围设备,16第2章AMBA总线协议与PRIMECE算法如定时器、中断控制器、UART等。在本文的研究课题中主要涉及实现了AH啪B桥和用于测试的APB从设备,其它的外围设备可以根据实际需要添加。231AHBAPB桥AHBAPB桥为高速的AHB总线和低速APB总线提供了一个接口,也为AHB和APB提供了一个协议转换的通道。AH眦B桥是AHB总线上的一个从设备,同时也是APB总线上唯一的一个主设备。APB与AHB不同,APB并不是采用流水线结构的数据传输模式,因此,AHBAPB桥必须要首先锁存AHB上的地址控制信号,同时加入一个等待周期。AHBAPB桥包含一个APB总线地址译码器,它根据内存映射表产生外围设备的选择信号。通过修改外设内存映射表可以方便添加或删除外围设备。由于AHB总线和APB总线的读写传输协议不同,而且,AHB采用的是流水线的传输结构,因此AHBAPB桥的状态及控制相对复杂,共使用了8个状态控制AHBAPB桥的读写操作,如图27所示。啊27AHBAPB桥状态转换图17成都理T大学硕士学位论文当系统重新启动或者在没有任何外围设备进行数据传输时,AHBAPB桥的状态为LDLE。当读传输开始时,AHBAPB桥进入R勘山状态。READ的下一个状态一般总为RENABLE,表明读传输F在进行。当写传输即将发生时,首先要进入WWAJT,等待AHB上的主设备将数据传送到AHB脚B桥上。WRITE和WRLTEP都是表示即将进入写周期,但WRITE表示单个的写操作;WRLTEP则对应的是BURST操作,多个连续的写操作。WENABLE和WENABU沪分别是AHBAPB桥正在进行单个写操作和BURST写操作的状态。232用于测试的APB从设备为了提高整个IP核的可测试性,特别为这个模块设计了一个简单的用于测试的APB从设备APBSLAVE。设计的APB从设备包含了4个寄存器,具有完整的APB接口,能够实现简单的数据读写以及运算操作。APB从设备对地址信号PADDRESS【3101进行译码,利用寄存器R1、R2、R3和R4来实现相应的读写操作。在本设计中AHBAPB桥和APB从设备的基地址均为OX80000000,如表23所示。裹23APB从设备的地址功能映射表地南FPADDRESS31O读写操作功能描述0X8000_0000OX00可读写向寄存器R0读写数据OX8000_00000X04可读写向寄存器R1读写数据0X8000_00000X08可读写向寄存器R2读写数据0X800000000XOC可读写向寄存器R3读写数据0X80000000OX1O可读读取NOTRL的值OX8000_00000X14可读读取R1ANDR2的值OX8000一0000OXL8可读读取R2ORR3的值0X8000_0000OXLC可读读取R1XORR3的值233其它外围设备APB上挂接的多为低速功耗的外围设备,包括定时器、中断控制器、看门狗、UART、USB、12C等。只要这些设备符合APB总线的接口规范,那么设计者就可以很容易地将它们以APB从设备的方式集成到整个SOC系统中,从而简化了设计。18第2章

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论