(微电子学与固体电子学专业论文)系统芯片zsu32的内核设计与逻辑综合.pdf_第1页
(微电子学与固体电子学专业论文)系统芯片zsu32的内核设计与逻辑综合.pdf_第2页
(微电子学与固体电子学专业论文)系统芯片zsu32的内核设计与逻辑综合.pdf_第3页
(微电子学与固体电子学专业论文)系统芯片zsu32的内核设计与逻辑综合.pdf_第4页
(微电子学与固体电子学专业论文)系统芯片zsu32的内核设计与逻辑综合.pdf_第5页
已阅读5页,还剩92页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

系统芯片z s u 3 2 的内核设计与逻辑综合 专业:微电子学与固体电子学 硕士生:张华栋 指导教师:陈弟虎教授 摘要 随着集成电路设计技术和半导体制造工艺的迅速发展,基于c m o s 标准单 元的超大规模集成电路( v l s i ) 设计已步入片上系统芯片( s o c ) 时代。s o c 因其面积小、功耗低、功能多和集成度高等特点,被广泛应用于通信、消费电子 和仪器仪表等领域。研究和掌握s o c 设计技术对发展我国的集成电路产业具有 重要的意义。 本文设计的片上系统芯片z s u 3 2 内置3 2 位m i p s 处理器,同时集成了l c d 控制器、a c 9 7 控制器、s r a m 控制器、以太网控制器等,并具有1 2 c 、s p i 、 u a r t 等多种接口,可以满足大部分嵌入式应用。 文中采用自顶向下的设计方法,完成了芯片的前后端设计,重点总结了以下 工作:( 1 ) 内核m c o r e 的设计。m c o r e 兼容1 0 6 条m i p s 指令,用5 级流水线实 现。文中分析了流水线各级的功能,然后用v e r i l o g 硬件描述语言进行建模,采 用m o d e l s i m 工具、结合s y s t e m c 测试平台进行功能仿真,并用f p g a 进行了原 型验证。( 2 ) z s u 3 2 的逻辑综合。文中以s y n o p s y s 公司的d e s i g n c o m p i l e r 为工 具,详细介绍了时钟定义、多时钟域、端口信号等时序约束策略,有效地减少了 逻辑设计与物理设计之间的时序差异。 z s u 3 2 芯片采用中芯国际0 1 8 p , mc m o s 工艺进行了实现。使用p r i m e t i m e 进行静态时序分析( s t a ) ,证明处理器可以达到2 0 0 m h z 的设计要求。芯片的 网表单元总数超过二百六十万门,文中采用a s t r o 布局布线工具对网表进行了 版图设计。芯片版图的尺寸约为3 9 m m x 3 9 m m ,目前芯片的g d s i i 文件己交给 晶元厂流片。 关键词:系统芯片,m i p s 体系,流水线,约束设置,逻辑综合 t h em i c r o p r o c e s s o rd e s i g na n dt h el o g i cs y n t h e s i s o f s o cz s u 3 2 m a j o r :m i c r o e l e c t r o n i c s n a m e :z h a n gh u a d o n g s u p e r v i s o r :p r o f e s s o rc h e nd i h u a b s t r a c t w i t ht h er a p i dd e v e l o p m e n to f i cd e s i g nt e c h n i q u e sa n ds e m i c o n d u c t o r m a n u f a c t u r i n gt e c h n o l o g y , v l s id e s i g n st h a tb a s eo nc m o ss t a n d a r dc e l l sh a v e s t e p p e di n t os y s t e m - o n - c h i p ( s o c ) t i m e s o ch a sb e e nw i d e l ya p p l i e d t ot h ef i e l d s o fc o m m u n i c a t i o n c o n s u m e re l e c t r o n i c sa n di n d u s t r yi n s t r u m e n t sf o ri t sa d v a n t a g e s s u c ha ss m a l la r e a , l o wp o w e rc o n s u m p t i o n p l e n t i f u lf u n c t i o n sa n dh i g hi n t e g r a t i o n t h e r e f o r e ,t os t u d ya n dg r a s ps o cd e s i g nt e c h n i q u e si sv e r yi m p o r t a n tt ot h e d e v e l o p m e n to f i ci n d u s t r yi nc h i n a t h ez s u 3 2s o cc o n s i s t so fa3 2 b i tm i p sp r o c e s s o ra n dm a n ym o d u l e s i n c l u d i n gl c dc o n t r o l l e r , a c 9 7c o n t r o l l e r , s ramc o n t r o l l e r , e t h e r n e tc o n t r o l l e re t c a n di ta l s oc o m p r i s e so fk i n d so fi n t e r f a c e ss u c ha s1 2 c 、s p ia n du 黼w i t ht h e s e c o m p o n e n t s z s u 3 2c a na p p l yt om a n ye m b e d d e da p p l i c a t i o n s w 1 t l lat o p d o w nd e s i g nm e t h o d t h ef r o n t - e n da n db a c k e n dd e s i g nf o rt h ez u $ 3 2 i s s u c c e s s f u l l yc o m p l e t e d t 1 1 i sp a p e rm a k e sas u m m a r yw i t he m p h a s i so nt h e f o l l o w i n ga s p e c t s :( 1 ) t h ed e s i g no ft h em c o r ep r o c e s s o r m c o r ei sc o m p a t i b l et oa t o t a ln u m b e ro fl0 6i n s t r u c t i o n so u to ft h ew h o l em i p si n s t r u c t i o ns e t af i v e s t a g e p i p e l i n em i c r o a r c h i t e c t u r ei sa d o p t e di nm c o r e a n a l y z i n gt h ef u n c t i o n so ft h ef i v e s t a g e s t h ec o r ei sf i r s t l ym o d e l e dw i t ht h ev e r i l o gh d l a n dt h e ni ss i m u l a t e dw i t l l t h em o d e l s i mt o o la n das y s t e m ct e s t b e n c h a n d f i n a l l y v e r i f i e db yf p g a p r o t o t y p i n g ( 2 ) t h el o g i cs y n t h e s i so fz s u 3 2 u s i n gt h es y n o p s y sd e s i g n c o m p i l e r , ad e t a i ld i s c u s s i o na b o u tt h et i m i n gc o n s t r a i n ts e t t i n gs t r a t e g i e sf o rc l o c kd e f i n i t i o n , m u l t i c l o c kd o m a i n sa n di op o r t si s p r e s e n t e d ,w h i c hc a nr e d u c et h et i m i n g i n c o n s i s t e n c ee f f i c i e n t l y z s u 3 2i si m p l e m e n t e dw i t ht h es m i c0 18 u mc ! m o st e c h n o l o g y , t h es 7 i ar e s u l t f r o mp r i m e t i m es h o w st h a tt h ec o r e r u n sa tt h ef r e q u e n c yo f2 0 0 m h zw h i c h a d e q u a t e l yf u l f i l l st h ep e r f o r m a n c et a r g e tf o rt h es o c w j t ho v e r2 6m i l l i o ng a t e si nt h e n e t l i s t , t h el a y o u tf o r t h ec h i pi sd o n e dw i t ht h ea s t r 0p l a c e a n d r o u t et 0 0 1 t h es i z eo ft h ec h i p i sa b o u t3 9 m m x 3 9 m m a n dt h eg d s i io f t h el a y o u th a sb e e nt a p e do u tt ot h ef o u n d r y k e y w o r d s :s o c ,m i p si s a ,p i p e l i n e ,c o n s t r a i n t ss e t t i n g ,l o g i cs y n t h e s i s i i 论文原创性声明 本人郑重声明:所呈交的学位论文,是本人在导师的指 导下,独立进行研究工作所取得的成果。除文中已经注明引 用的内容外,本论文不包含任何其他个人或集体已经发表或 撰写过的作品成果。对本文的研究作出重要贡献的个人和集 体,均已在文中以明确方式标明。本人完全意识到本声明的 法律结果由本人承担。 学位论文作者签名:群蕊 e i 期:加历年石月- 7 日 f 学位论文使用授权声明 本人完全了解中山大学有关保留、使用学位论文的规 定,即:学校有权保留学位论文并向国家主管部门或其指定 机构送交论文的电子版和纸质版,有权将学位论文用于非赢 利目的的少量复制并允许论文进入学校图书馆、院系资料室 被查阅,有权将学位论文的内容编入有关数据库进行检索, 可以采用复印、缩印或其他方法保存学位论文。 学位论文作者签名: 鳓 三嚣妻羔月强、装船 1 乒,v 一一岣,、 导师签名:0 1 秒s 蜚、条凇 日期:,以口年6 月谚日 知识产权保护声明 本人郑重声明:我所提交答辩的学位论文,是本人在导 师指导下完成的成果,该成果属于中山大学物理科学与工程 技术学院,受国家知识产权法保护。在学期间与毕业后以任 何形式公开发表论文或申请专利,均须由导师作为通讯联系 人,未经导师的书面许可,本人不得以任何方式,以任何其 它单位做全部和局部署名公布学位论文成果。本人完全意识 到本声明的法律责任由本人承担。 学位论文作者签名:脓 日期:彬年6 月厂日 1 1 集成电路发展状况 第一章引言 集成电路是2 0 世纪兴起的以电子计算机、原子能利用、宇航技术为标志的 第三次技术革命的重要支柱。计算机技术的飞速发展,彻底的改变了人类的生活 方式,电子化产品的无处不在,也为人们的工作、生活、娱乐带来了方便和舒适。 其中,以微电子技术特别是集成电路为核心的电子产品是推动信息化时代到来的 强大动力。掌握集成电路设计和制造技术,对促进我国科学技术发展,维护国家 信息安全,具有重大的实际意义【l 】。 c p ut r a n s i s t o rc o u n t s19 7 1 2 0 0 8 & m o o r e sl a w 芑 3 8 暑 。誊 譬 1o 0 0 0 2 3 0 0 篡、;第一 。2 ”_ :冕:夕,:t 。 角棚2 ,譬。咐 雌, 5 。_ _ c 狮垤- h 嗍咖嘲,l 捌:,。臻疆 e v e r y t w o 归 , , m ,7 。 。 r 一一一一ill 1 9 7 11 9 8 01 9 9 02 0 0 02 0 0 8 d a t eo fi n t r o d u c t i o n 图1 1 摩尔定律:i n t e l 处理器晶体管数目增长【2 】 以硅平面技术的发展为基础,二十世纪6 0 年代先后发明了双极型( b i p o l a r ) 和m o s 型两种重要的集成电路,标志着有电子管和晶体管制造电子整机的时代 发生了量和质的飞跃。集成电路( i c ) 经历了小规模、中规模、大规模和超大规 模阶段,正在向特大规模挺进,它的特征尺寸从最先的1 0 u m 一路缩小到0 2 5 u m 、 0 1 8 u m 、o 1 3 u m 、0 0 9 u m ,现在已经迈入6 5 n m 、4 5 n m 甚至更小的线宽。半导 体制造工艺的提高,大大提高了芯片集成的晶体管数目,集成电路的发展遵循着 摩尔定律【2 】:i c 上可容纳的晶体管数目,约每隔两年便会增加一倍,性能也将提 升一倍。图1 一l 是i n t e l 处理器的晶体管数目增长历史,基本符合这一趋势 现在数字芯片的集成水平通常都在百万门以上,这些器件既要求相互隔离又 要求相互连接,而且还需要考虑设计思路的提出、设计验证及设计实现过程中所 包含的各方面因素。要设计这么庞大数目的门电路,应对高度复杂的电路系统, 必须采用高层次的抽象技术,广泛使用硬件描述语言和e d a 设计工具【3 】。 i c 设计方法【4 】可以分为全定制设计方法和半定制设计方法。 全定制方法的特点是由设计人员针对每个晶体管进行电路参数调整和手工 进行版图优化,以获得最佳的性能( 包括速度和功耗) 以及最小的芯片面积,但 是它的缺点也很明显:设计效率低、设计周期长、开发成本高。这种设计方法显 然无法满足超大规模集成电路的设计要求,通常只是用在模拟集成电路和某些具 有重复性结构的电路( 如r o m 、r a m 等) 。 半定制设计是超大规模集成电路的主要设计技术。半定制方法可分为基于标 准单元( 主要是c m o s 标准单元) 和基于阵列( p l a 、p a l 、门海、p l d f p g a 等) 两种设计。 采用标准单元法,设计时将所需单元从单元库中调出,将其排列成行,然后 根据电路逻辑将各单元连接起来就可以完成设计。标准单元库中的每一个单元都 是事先精心设计好的,并完成了设计规则检查和电学性能验证。标准单元通常包 括各种基本逻辑门:反向器、与门、非门、或门、与非门、或非门、异或门等等, 也包含各种基本时序元件,如d 触发器,还包含有一些较强的功能模块如加法 器、乘法器等。单元库中同一功能的单元有几种不同型号的设计可供选择,实现 相同逻辑的单元在设计时已根据输入输出端口的数目、驱动能力的大小等设计出 不同的副本,以便调用。设计好的各单元的版图信息存放在物理单元库中,单元 的逻辑符号及电学特性则存入逻辑库中。标准单元库由代工厂家( f o u n d r y ) 提 供,台积电、台联电、特许半导体、中芯国际都是著名的代工厂家。在标准单元 法中,还可以插入宏单元,这些宏单元可以是r o m 、r a m 或a l u ,也可以是 经全定制设计的专用功能模块。 当前,标准单元法与e d a 工具已经结合得非常好,可以极大的减少设计人 员的重复性劳动,缩短设计周期、加快产品研发速度,因此这种方法是目前设计 2 数字集成电路的首选。图1 2 图5 7 总结了数字集成电路的实现方法【5 1 。 图1 2 数字集成电路实现方法 c m o s 电路具有速度快、功耗低、抗干扰能力强的特点,目前大部分的数字 集成电路均采用c m o s 工艺制造。c m o s 电路的健壮性( r o b u s t n e s s ) 远超其它 工艺制造的电路,所以特别适合用于标准单元库的设计。也正是由于其健壮性, 基于c m o s 工艺的标准单元库被电子设计自动化工具广泛支持,这也是目前 c m o s 集成电路占据了巨大份额的原因,事实上,许多a s i c 的设计只支持c m o s 电路。此外,c m o s 结构的晶体管更适合等比例缩小技术,理论分析和实验表明, 将器件尺寸缩小到原来的1 1 0 ,管子的开关速度速度将提高1 0 倍,功耗相应地 减少到原来的1 1 0 ,这也c m o s 工艺成为半导体生产工艺的首选【6 j 。 g e “n e t r l c a l p h y s i c a l d o m a i n 图1 - 3i c 的分层分级设计 3 现代v l s i 设计理论是基于自顶向下的流程的。设计综合被定义为两种不同 的设计描述之间的转换,或者是指一种将设计的行为描述转换成设计的结构描述 的过程,图1 3 表示了这种不同描述之间的转换 6 1 。 总体来讲,集成电路设计需经历三个子过程【4 】: ( 1 ) 高层次综合,也称为行为级综合( b e h a v i o r a ls y n t h e s i s ) 。它的任务是将 一个设计的行为级描述转换成寄存器传输级的结构描述。首先翻译和分析设计的 h d l 语言描述,在给定的一组性能、面积和或功耗的条件下,确定需要哪些硬 件资源,如执行单元、存储器、控制器、总线等( 通常称这一步为分配( a l l o c a t i o n ) ) , 以及确定在这一结构中各种操作的次序( 通常称之为调度( s c h e d u l i n g ) ) 。同时还可 通过行为级和寄存器传输级硬件仿真进行验证。由于实现设计的功能可能有多种 硬件结构,因而高层次综合的目的是要在满足目标和约束条件下,找到一个代价 最小的硬件结构,并使设计的功能最佳。 ( 2 ) 逻辑综合,是将逻辑级的行为描述转换成逻辑级的结构描述,即逻辑门 的网表。逻辑级的行为描述可以是状态转移图、有限状态机,也可以是布尔方程、 真值表或硬件描述语言。逻辑综合过程包括一系列优化步骤,如资源共享、连接 优化和时钟分配等。优化目标是面积最小,速度最快,功耗最低或它们之间的某 种折衷。逻辑综合分成两个阶段:与工艺无关的阶段,这时采用布尔操作或代数 操作技术来优化逻辑;工艺映射阶段,这时根据电路的性质( 如组合型或时序型) 及采用的结构( 多层逻辑、p l d 或f p g a ) 做出具体的映象,将与工艺无关的描述 转换成门级网表或p l d 或f p g a 的执行文件。 ( 3 ) 物理综合,也称版图综合( 1 a y o u ts y n t h e s i s ) 。它的任务是将门级网表自 动转换成版图,即完成布图。布图规戈u ( f l o o r p l a n ) 是对设计进行物理划分,同时 对设计的布局进行规划和分析。在这一步骤中,面向物理的划分,其层次结构可 以与逻辑设计时的划分有所不同。布图规划可以估算出较为精确的互连延迟信 息,预算芯片的面积以及分析得到何处为拥挤的布线区域。布局是指将模块安置 在芯片上的适当位置,并能满足一定的目标函数。一般布局时总是要求芯片面积 最小,连线总长最短和电性能最优且容易布线。布局又分为初始布局和迭代改善 两个子步骤。进行初始布局的目的是提高布局质量及减少下一步迭代改善时的迭 代次数,而迭代改善是设法加以优化的过程,它是决定布局质量的关键。布线是 4 根据电路的连接关系描述( 即连接表) ,在满足工艺规则的条件和电学性能的要求 下,在指定的区域( 面积、形状、层次等) 内百分之百地完成所需的互连,同时要 求尽可能优化连线长度和通孔数目。 图1 4 是半定制集成电路的设计流程【5 1 。 设 计 迭 代 设计捕获 t a p e - o u t 图1 4 半定制集成电路设计流程 1 2 s o c 设计方法学的流行【s 胴 行为级 结构级 物理级 s o c ( s y s t e m o n c h i p ) ,术语称为“系统芯片”或者“片上系统芯片”,是在 a s i c 的基础上发展起来的,相比较a s i c 芯片,s o c 整合了一个系统的功能, 在单一硅片上集成信号采集、转换、存储、处理和i o 等功能模块,实现整个信 息处理系统或其分系统,以提高性能价格比。s o c 具有速度快、集成度高、功 耗低等优点,使整机成本和体积都大大降低,加快了整机系统更新换代的速度。 s o c 的这些优点顺应了通信、电脑、消费电子产品趋于轻、薄、短和低功耗的 发展方向,对于移动通信、掌上电脑和多媒体产品的生产厂商有非常大的吸引力。 s o c 设计是将广泛的多功能口和客户逻辑集成在一起的设计艺术。国外许 多第三方i p 核供应商得到快速发展,他们的成功要么具有独一无二的且极具价 值的i p 核,要么具有良好声誉的i p 库。s o c 设计者通过重用i p 核,不仅利用 了最新的工艺技术优势,而且减少了开发周期和风险。基于i p 复用的s o c 设计 一般包括一个基本的r i s c 处理器,这个处理器可以进一步包括乘除单元、浮点 运算和专用d s p 单元。如图1 5 所示,一个典型的s o c 包括以下组成部分 7 1 : ( 1 ) 一个微控制器、微处理器或者数字信号处理内核。 ( 2 ) 存储模块,包括r o m 、r a m 、e e p r o m 和f l a s h 等的选择性组合。 ( 3 ) 时钟源,包括振荡器和锁相环。 ( 4 ) 外部设备,包括计数定时器,实时计时器和电源复位生成器。 ( 5 ) # 1 - 围接口,包括u s b f h w i r e e t h e m e 仉j s 删s p i 等工业标准接口。 ( 6 ) 稳压电路和功耗管理电路。 图1 5s o c 基本模块 这些模块通过专利保护的总线,或者工业标准的总线比如a r m 的a m b a 总线进行连接。d m a 控制器绕过处理器直接在外部接口和内存之间建立传输通 道,因此提高了s o c 的数据吞吐率。 可重用i p 是进行s o c 设计的基础。由于系统变得越来越复杂,并且重新 设计每一模块必然带来成本和设计复杂度的增加,因此,人们倾向于跟构建大型 软件一样,采用i p 核的形式维护和保存一些常用的模块,如r i s c 处理器、存 储器、i o 功能块、编解码模块等等。这种设计好的i p 核预先都经过充分的验证 和测试,保证其功能正确,有明确的接口协议,并通过h d l 描述的形式来保持 它的可移植性,更换或者增减某些模块都不会对系统另外的模块产生破坏,因此 具有便于使用、便于调试、灵活等特点,对于减少设计时间,避免设计重复工作 6 具有重要意义。 s o c 设计首先根据系统的要求提出对系统的整体描述,其中包括系统行为 描述和系统结构描述。系统工程师建立模块的行为模型,利用软件和硬件模块的 协同仿真对软件和硬件的划分进行微调,最终找到合适的软件算法和硬件结构, 使系统整体结构和性能得到最优化。为了缩短设计周期,在进行电路设计时,尽 可能重用各种功能模块,而只对一些专用逻辑进行独立开发。r t l 电路甚至行为 级电路经过综合后,生成对应器件的门级网表。电路设计工作包括布局布线、时 钟树生成、版图优化等,现在基本上由e d a 工具完成【3 】。 1 3 本文所做的工作 近年来,凭借巨大的市场需求、较低的人力成本、稳定的经济发展、优越的 政策扶持等众多优势,中国集成电路产业取得了飞速发展。由集成电路产业带动 下的计算机、通信、消费电子技术的融合以及互联网的广泛应用孕育了大量的新 兴产业。然而中国拥有巨大的市场,却缺乏坚实的技术基础。国内在s o c 方面 的设计、开发能力薄弱,自主i p 资源不丰富,尤其是是微处理器自主i p 资源严 重缺乏,而微处理器直接影响s o c 的性能。因此,探索s o c 设计技术、研究处 理器设计技术是很有必要的。 本课题来源于中山大学专用集成电路设计中心的s o c 集成电路i p 开发与芯 片设计项目,本文所设计的片上系统芯片z s u 3 2 已成功流片。本文首先从总体 上叙述了z s u 3 2 这款芯片设计框架和i p 核集成情况,提出了总体的设计流程。 文中重点研究了系统芯片中r i s c 处理器的设计技术。该r i s c 处理器采用m i p s 指令系统,使用v e r i l o g 硬件描述语言进行功能描述,使用了5 级流水线结构以 提高效率。另外,由于逻辑综合在芯片实现过程中的重要性,针对系统芯片功能 模块众多、时钟域复杂、低功耗要求高等诸多特点,本文详细介绍了在这种复杂 系统芯片中进行约束和逻辑综合的流程和对策。 本文的组织如下: 第一章绪论,介绍集成电路设计的发展现状以及s o c 设计方法学,并对 论文的结构作出安排。 第二章介绍系统芯片z s u 3 2 的总体设计规划和设计流程。简要介绍了 7 r i s c 与c i s c ,详细介绍了m i p s 3 2 体系的指令系统和指令格式,并对流水线技 术作了简单介绍。 第三章主要关于z s u 3 2 的m i p s 处理器的逻辑设计。并围绕m i p s 处理器 的流水线实现方案,对设计中的流水线划分和各级的任务进行了详述。 第四章z s u 3 2 的约束设置和逻辑综合。介绍了逻辑综合的基本原理,结 合d e s i g nc o m p i l e r 工具,详细阐述了z s u 3 2 逻辑综合过程中的约束设置策略。 第五章介绍了z 3 u 3 2 的版图设计和实现。 第六章对全文进行了总结。 8 第二章z s u 3 2 的总体设计方案 2 1z s u 3 2 的系统蓝图 z s u 3 2 是由中山大学专用集成电路设计中心开发的s o c 芯片。本芯片内置 3 2 位m i p s 处理器作为c p u ,具备两路独立的指令和数据高速缓存,c p u 内部 有独立的d s p 协处理器和浮点协处理器,同时集成了l c d 控制器、m p e g 硬件 加速器、a c 9 7 控制器、s r a m 控制器、n a n df l a s h 控制器、s a t a 高速硬盘控 制器、以太网m a c 控制器等,并具有1 2 c 、1 2 s 、s p i 、u a r t 、g p i o 等多种接 口模块。图2 1 是z s u 3 2 的总体结构。 一i 协处理器0 i ,一 鋈童裟hm i p sc 。心h 黜 数据总线 指令总线回i m m u 隈霜 总线接口单元b i u g p i o r t c o s t i m e r l r 琢引i l q 堕! ! q 避i i | q 堕! ! q 避i i i 一一一 廿u s b r i d g e 3 2 位高速系统总线 3 2 位 外 设 总 线 1 2 c 1 2 s u a r t o u a r t l 司 _ j 。m m c1 _ j 蔓圈 a c 9 7 d m a 控制器 m p e g 硬件i l ”1 ”is a t a | ls r a m p c ii | u s b l c d | | 以太网 加速器i 。f l 。a s 。hl 控制器ii 控制器li 控制器| l 控制器lj 控制器| | 控制器 图2 1z s u 3 2 系统芯片结构 z s u 3 2 芯片的开发充分体现了i p 复用的设计思想。上图中的外围模块是可 9 虹,枷糍 匦匿 以根据具体需要进行配置或者裁减的,系统中的接口模块大部分都有现成的i p 可用,比如u a r t 、1 2 c 、p c i 控制器、e t h e m e t 控制器等都有开源的项目以资参 考,内部的m e m o r y ( 如c a c h e 、r a m 等) 通过标准化的i p 模块进行实例化。 系统采用的是3 2 位的m i p s 处理器,并没有开源的i p ,该处理器需要设计团队 按照完整的数字a s i c 设计流程进行设计。 z s u 3 2 的片上互连采用的是o p e n c o r e s 组织提出的w i s h b o n e l 8 】总线, w i s h b o n e 总线被设计成一种“逻辑总线”。它不规定物理意义上的电气信息和总 线拓扑结构,相反,它的规格描述使用的是信号、时钟周期、高低电平等信号逻 辑术语的。这种模糊化处理是一种有意识的行为。对于面向电子设计自动化的、 使用v e r i l o g v h d l 或者其他逻辑描述语言设计的硬件,w i s h b o n e 提供了一种标 准模式给这些硬件逻辑设计模块来融合在一起。 s o c 设计的一个重要问题是如何高效地将众多i p 核连接起来,构成一个协 调的整体。在z s u 3 2 的系统互连上,选用了一种开放的总线标准一w i s h b o n e 总 线。对于半导体i p 内核的使用来说,w i s h b o n e 片上系统互连体系是一种灵活的 设计方法。它的目的是通过减轻s o c 的集成问题来促进设计可重用。这是通过 在i p 核之间创建一个公用接口来实现的。这种方法改善了系统的便携性和可靠 性,同时对终端用户来说缩短了产品推出市场的时间。 图2 2 是w i s h b o n e 的接口示意图,表2 1 给出了信号的功能定义。 图2 - 2w i s h b o n e 接1 3 t 8 】 1 0 表2 1w i s h b o n e 接口信号 信号功能描述 c l ki 时钟信号,w i s h b o n e 信号均与时钟上升沿同步 r s ti 复位信号 d a t0 0 d a t 二i ( ) 主设备和从设备之间的数据信号 a d r _ 0 0 a d r _ i ( )地址信号 t a g no t a g ni 标签信号,用户自定义,可以传送数据或者地址的额 外信息 a c ko a c ki 主从设备操作确认信号 w eo w ei 高电平代表总线写操作,低电平代表总线读操作 s e l - - o o s e l _ i o 有效数据选择信号,指示当前哪个字节有效 s t bo s t bi 选通信号,其有效表明主设备发起一次总线操作 c y co c y ci 表示主设备请求总线使用权或正在占用总线 w i s h b o n e 总线由o p e n c o m s 组织维护,o p e n c o r e s 上的免费i p 核,大多使 用w i s h b o n e 总线。w i s h b o n e 的关键特性:( 1 ) 单总线系统,简单紧凑的、处于逻 辑级的i p 核接口,只需很少的逻辑门实现。( 2 ) 支持点对点、共享总线、交错传 输和复合传输等多种互联结构,支持总线仲裁。( 3 ) 支持流行的数据传输总线协 议,包括单字节读写周期、数据块传输周期、突发传输。( 4 ) 参数化的总线宽度 和操作数大小,w i s h b o n e 被定义为具有8 ,1 6 ,3 2 和6 4 位的总线。( 5 ) 支持大端 优先和小端优先两种数据排序模式。( 6 ) 支持用户自定义标记( t a g ) 。w i s h b o n e 允许增加“标记”来描述数据,但是,复位、简单读写的寻址、数据块的移动以及 不可分割的时钟周期都工作在无标记状态。 目前流行的片上总线标准有a m b a 、c o r e c o n n e c t 以及w i s h b o n e 。对比前两 者,w i s h b o n e 的最大优势是免费、开放,w i s h b o n e 有许多开源的i p 核可供参考。 此外,w i s h b o n e 的接口简单、总线配置方式灵活、易于移植 9 1 。 2 2 z s u 3 2 的设计流程与工具 图2 3z s u 3 2 的开发流程与工具 中山大学a s i c 设计中心现在已拥有由著名e d a 公司s y n o p s y s 提供的一整 套i c 设计工具,可以完成从前端到后端的设计流程,图2 3 是z s u 3 2 的设计流 1 2 程图。表2 2 列出了部分常用的工具及功能。在实际应用中,除了表中的工具, 还采用了其他一些业界流行的e d a 工具,例如,m e n t o r 公司的m o d e l s i m 仿真 工具,进行版图d r c l v s 的c a l i b r e 工具,以及a l t e r a 的q u a t u s i i 和x i l i n x 的 i s e 两个f p g a 开发工具。 表2 - 2s y n o p s y s 集成电路设计平台 工具功能 v h d l c o m p i l e rv e r i l o g v h d l 源代码输入 h d l c o m p i l e r v e r i l o g l e d a 语法和设计规范检查 甘l j v c s h d l 仿真器,混合语言仿真 v e r a 测试平台、向量自动生成 端 d e s i g nc o m p i l e r逻辑综合( d c ) p r i m e t i m e 静态时序分析 f o r m a l i t y 形式验证 a s t r o 版图设计,布局布线 后 h e r c u l e s ( l v s , d r c ) 版图物理验证 s t a r r c x t 寄生参数提取 端 n a n o s i m ( s t - s i m x t ) 高速大规模电路仿真 s t - h s p i c e 高精度电路仿真 2 3 指令集体系( i s a ) 概述【o 】【1 1 】 2 3 1 精简指令集计算机( m s c ) 计算机体系( a r c h i t e c t u r e ) 作为软硬件之间的接口,其内容包括: ( 1 ) 指令集; ( 2 ) 每一条指令是做什么的; ( 3 ) 指令寻址方式; ( 4 ) 指令如何编码; ( 5 ) 寄存器。 复杂指令集计算机( c o m p l e xi n s t r u c t i o ns e tc o m p u t e r ,c i s c ) 是指令体系 的一种。早期的计算机部件比较昂贵,主频低,运算速度慢。为了提高运算速度, 人们不得不将越来越多的复杂指令加入到指令系统中,以提高计算机的处理效 率,这就逐步形成复杂指令集计算机体系。i n t e l 公司的x 8 6 系列c p u 是典型的 1 3 c i s c 体系的结构,从最初的8 0 8 6 到后来的p e n t i u m 系列,每出一代新的c p u , 都会有自己新的指令,而为了兼容以前的c p u 平台上的软件,旧的c p u 的指令 集又必须保留,这就使指令的解码系统越来越复杂,增加了硬件设计的难度。 精简指令集计算机( r e d u c e di n s t r u c t i o ns e tc o m p u t e r ) 则力求用最简单的指 令来完成复杂的工作。研究表明,计算机指令执行的频率不是平均分布的,只有 少部分指令是经常用到,而其他的指令很少用到,因此,r i s c 体系致力于采用 简洁的指令集,指令格式规整、单挑指令功能简单、使用大量的通用寄存器、使 用较少的寻址方式。r i s c 体系着重于优化那些使用频率高的指令,致力于减少 每一条指令的执行时间从而提高整体的执行效率。常见的r i s c 指令体系有 a r m 、m i p s 、s p a r c 、p o w e rp c 等,主要应用在嵌入式处理器中。 2 3 2m i p s 指令集体系 1 2 1 3 1 1 4 1 m i p s 指令集有3 种指令格式:1 - t y p e ,j - t y p e ,r t y p e ,如图2 4 所示。 r t y p e ( r e g i s t e o i - t y p e ( i m m e d i a t e ) j - t y p e ( j u m p ) 3 l2 62 52 12 01 6 1 50 3 12 6 2 5o 图2 4m i p s 的指令格式 其中各个字段的含义如表2 3 所示: 表2 3m i p s 指令字段含义 o p ( o p e r a t i o nc o d e ) 6 位操作码 r s ( s o u r c er e g i s t e r )5 位,第一个源寄存器 r t ( t a r g e tr e g i e s t e r ) 5 位,第二个源寄存器 r d ( d e s t i n a t i o nr e g i s t e r )5 位,存放结果的目的寄存器 s a ( s h i f la m o u n t )5 位,寄存器指令的移位位数 f u n c t ( f u n c t i o n ) 6 位,寄存器指令的功能字段 i m m e d i a t e 1 6 位,立即数 t a r g e t2 6 位,跳转目标地址 1 4 指令的功能: l o a da n ds t o r e ( 读写内存) c o m p u t a t i o n ( 算术、逻辑、移位、比较) j u m pa n db r a n c h ( 跳转、分支) o t h e r s ( 异常e x c e p t i o n s 、系统控制) 以下是部分指令的功能及其指令格式: a r i t h m e t i cr - t y p e : a d d ,a d d u ,s u b ,s u b u a r i t h m e t i ci - t y p e :a d d i ,a d d i u l o g i c a lr t y p e : 。l o g i c a li - t y p e : a n d ,o r , n o r , x o r a n d i ,o r i ,x o r i s h i f tr t y p e : s l l ,s l l v , s r l ,s r l v , s r a , s r a v l o a d s t o r ei - t y p e :l u i ,1 w , l h ,l h u , l b ,l b u , s w ;s l l ,s b b r a n c hi - t y p e : b e q ,b n e ,b g e z ,b g e z a l ,b g t z ,b l e z ,b l e z a l ,b l t z j u m pj - t y p e : j ,j a l j u m pr - t y p e :j r , j a l r o s s u p p o r t :s y s c a l l m u l t i p l y d i v i d e : m u l t , m u l t u ,d i v , d i v u r e s u l th e l di n2s p e c i a l r e g i s t e r s ( 1 ) 寄存器类型指令:图2 5 是寄存器类型指令的例子。汇编指令: a d d $ 3 0 ,$ 1 ,$ 2 源寄存器r s 指向$ 1 ,目标寄存器r t 指向$ 2 ,目的寄存器r d 指向$ 3 0 。该指令 将寄存器l 和寄存器2 中的数据相加,结果保存到寄存器3 0 。 1 5 f o r m a t a d dr d ,r 日,r t m i p s 3 2 ( m i p si l 图2 5 寄存器类型指令 ( 2 ) 立即数类型指令:图2 6 是立即数类型指令的例子。 汇编指令:s w $ 2 ,i m m l 6 ( $ 1 ) 。s w 是写内存指令,功能是将3 2 位数据写入内 存对应的地址。语句中i m m l 6 是一个1 6 位的带符号立即数,该立即数经符号扩 展后与寄存器l 中的数据求和得到内存地址;寄存器2 中保存的数据是将要写入 内存的数据。 f o r m a t :s wr t o f f s e t ;( b a s e ) 图2 - 6 立即数类型指令 1 6 m i p s 3 2 ( m i p sd ( 3 ) 跳转类型指令:图2 7 是跳转类型指令的例子。汇编指令:j i m m 2 6 j 是无条件跳转指令。语句中i m m 2 6 是2 6 位的带符号立即数,3 2 位跳转地址n p c 的低2 8 位n p c i 2 7 :0 】由该立即数左移两位后形成,跳转地址n p c 的高4 位 n p c i 3 1 :2 8 来自程序计数器的高4 位p c i 3 1 :2 8 。 j u m p j 、i m m 2 6 m i p s 3 2 ( m i p sn 图2 7 跳转类型指令 m i p s 3 2 体系结构含有3 2 个通用寄

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论