(信号与信息处理专业论文)超高速快速傅立叶变换的实现.pdf_第1页
(信号与信息处理专业论文)超高速快速傅立叶变换的实现.pdf_第2页
(信号与信息处理专业论文)超高速快速傅立叶变换的实现.pdf_第3页
(信号与信息处理专业论文)超高速快速傅立叶变换的实现.pdf_第4页
(信号与信息处理专业论文)超高速快速傅立叶变换的实现.pdf_第5页
已阅读5页,还剩54页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

超高速快速傅立叶变换的实现 摘要 随着现代科技的发展,快速傅熙叶变换( f f t ) 技术现已成为数字信号处理强 有力的工具,广泛地应用于雷达、声纳、通信等领城。f f t 的实现手段主要包括: 通用计算机、通用数字信号处理芯片和f p g a 。目前使用a d 公司的d s p 器件t s l 0 1 在实现2 5 6 点f f t 变换时,其数据吞吐率为5 8 m h z ,使用a 1 t e r a 公司的i p 核实现相 同点数的交换时,萁数据吞吐率也只有3 3 7 m h z 。相对与现在某些雷达信号处理 鬏域,对f f t 交换上g h z 吞馥率的要求来说,上述两种实现方法显然不能满髭要 求。 在这耪鹜景下,本谍题采_ l 现场霹编程门阵捌( f p g a ) ,设计实瑗了一萃牵趣 塞速f f t 处理器。尽蔫,使用f p g a 安觋f f t 多采惩基2 勰基4 结梅,随羲f p g a 撬模 的不版扩大,使采用更离基数实玟f f t 变换成为霹能。零课题裁是采用a 1 t e r a 公司的s t r a t i xi i 芯片完成了基1 6 - f f t 处理器的设计。森设计实理过程中,以 基2 f f t 搭建基1 6 - f f t 的运算核,合理安排时序,解决了碟形运算、数据传输 和存储操作协调一致的问题。由于采用流水线工作方式,使整个系统的数搬交 换和处理速度得以很大提高。本设计实现了4 0 9 6 点和2 5 6 点的变换,两个内部运 算时钟都可以达到1 0 0 m h z 以上,其中2 5 6 点变换的数据吞吐率高达1 3 6 g h z 。 关键词:快速傅里叶变换现场可编程门阵列数字信号处理流水线 i m p l e m e n t a t i o no ft h eu l t r ah i g hs p e e df f t a b s t r a c t w i t ht h ed e v e l o p m e n to fm o d e r nt e c h n o l o g y , f f th a sb e e nap o w e r f u lt o o l w h i c hc a nb ew i d e l yu s e di ns u c hf i e l d sa s :r a d a r ,s o n a r ,c o m m u n i c a t i o n ,a n ds oo n m a i nr e a i i z a t i o nm e a n so ff f ti n c l u d ec o m p u t e r 、d s pa n df p g a w h e nw eu s e d s pd e v i c et s l 0 1o f a dc o m p a n yt or e a l i z e2 5 6 一p o i n t sf f t ,i t sd a t at h r o u g h p u ti s 5 8 m h z w h e nw eu s ef f ti pc o r eo fa l t e r ac o m p a n yt or e a l i z et h es a m ep o i n t s f f t ,i t sd a t at h r o u g h p u ti so n l y3 3 7 m h z t h e ya r en o tf a s te n o u g ht ob eu s e di n s o m ef i e l do fr a d i as i g n a lp r o c e s s i n g ,w h i c hr e q u i r e st h et h r o u g h p u to ff f tt or e a c h l g 壬z 。 u n d e rt h i sb a c k g r o u n d ,ad e s i g no fu l t r ah i g hs p e e df f tp r o c e s s o rb a s e do n f p g ai sd e v e l o p e di nt h i sp a p e r a tp r e s e n tw ea l w a y su s er a d i x - 2a n dr a d i x 4t o c a r r yo u tf f t w h e nt h es c a l eo ff p g ai se x p a n d i n g ,i t sp o s s i b l et oi m p l e m e n t h i g h e rr a d i xf f t t h i st o p i cu s e ss t r a t i xi io fa l t e r ac o m p a n yt oc a r r yo u ta p r o c e s s o ro fr a d i x 一1 6f f t i nt h i sd e s i g n ,r a d i x 一1 6f f ti sc a r r i e do u tb yr a d i x 一2 f f t ,t h ed e s i g nu s e sr a t i o n a lt i m es e q u e n c ea r r a n g e m e n tt om a k eb u t t e r f l y c o m p u t i n g ,d a t at r a n s f o r m a t i o na n dm e m o r yc o i n c i d e i no r d e rt oa v o i dt h e b o t t l e n e c k ,p i p e l i n ep a t t e r n i su s e d ,t h i sm e t h o da c c e l e t a t e st h eo p e r a t i n g t h e s c h e m er e a l i z e st h e4 0 9 6 - p o i n t sa n d2 5 6 一p o i n t sf f t ,t h e i ro p e r a t i o nc l o c k sc a n b o t hr e a c ha b o v e1 0 0 m h z a m o n g t h e m ,t h et h r o u g h p u to f 2 5 6 一p o i n t sf f ti su pt o 1 3 6 g | z k e yw o r d s :f f tf p g ad s pp i p e l i n e 嘲2 1 圈2 - 2 阁2 - 3 豳2 - 4 黼2 - 5 图2 - 6 潮2 - 7 躅2 - 8 黧2 - 9 图3 一l 嬲3 - 2 圈3 - 3 圈4 一l 图4 2 圈4 - 3 圈4 - 4 翻4 - 5 萄4 - 6 甏4 - 7 圈4 - 8 爨4 - 9 图4 - 1 0 圈4 - 1 1 图4 - 1 2 图4 1 3 图4 一1 4 图4 1 5 图4 一1 6 插图强录 f p g a 系统规划的简化流程。,。l s 时钟建立时间( c l o c ks e t u pt i m e ,t s u ) 。1 7 时钟保持时间( c l o c kh o l dt i m e ,t h ) 1 7 时钟到输出延迟( c l o c k t o o u t p u td e l a y ,t o o ) 1 8 余量计算图1 8 僳持时间余薰( o l dt i m es l a c k ) 1 9 嚣于辩攒动( c l o c ks k e w ) 1 9 触发嚣煞建立保持时阕2 0 异步电路设毒中t 影桶,2 0 8 点d f t 中载旋转因子,2 3 基2 碟形运算。2 7 基4 碟形单元( 按时闽撼取) 。2 8 基8 运算核结构图,3 l 运算核的内部结构。3 5 基2 碟形运算硬件实现结构3 5 f p g a 内嵌d s p 单元3 6 f f t 处理器的结构图。3 7 4 0 9 6 点基t 6 f f t 变换结果的序芍4 1 4 0 9 6 点基1 6 f f t 变换结柒频率对应的序号4 1 2 5 6 点罄1 6 一f f t 硬辞实溉结构图4 2 4 0 9 6 煮块浮煮运算传真波形,4 4 2 5 6 点块浮点运算仿冀波形。4 4 2 5 6 点定点运算时彦傍真波形。4 5 仿真结果比较。4 5 s i g n a l t a p 将逻辑分析模块示爨圈。4 8 s i g n a l t a p 设置界面。4 8 s i g n a l t a p 调试采样结果( 1 ) 。4 8 s i g n a l t a p 调试采样结果( 2 ) 4 9 表卜l 表2 一l 表2 - , - 2 表2 - 3 表4 一l 表4 - 2 表4 - 3 表4 4 表4 5 表4 6 表4 7 表4 - 8 捶表雕录 目前各弛实现方法完成f f t 的性能。,。2 f p g a 与c p l d 的区别及联系+ 。5 s t r a t i xi i 系列f p g a 资源列表8 传统设计方法,。1 2 各种基运算量的比较,3 2 第l 级16 组缩果的存储方式3 8 第2 级前1 6 组结果的存储方式,3 9 第3 缀前i 6 缎结果的存储方式4 0 2 5 6 点块浮点运算资源消耗萤4 3 4 0 9 6 点块浮点运箨资源消耗蓬,。4 3 2 5 6 点定点运算资源港耗璧。4 4 f f t 实理黪性熊,。,4 9 独创性声明 本人声明所呈交的学位论文是本人在导师指导p 进行的研究:r 作及取得的研究成果。据 我所知,除了文中特别加以标志和致谢的地方外,论文中不包含其他人已经发表或撰写过的 _ l | j 变成果,也不包含为获褥盒g : :丝杰堂 或嚣毽教弯枫鞫瓣学位或蠢e 二悖疆镬捌过熬辜孝 料。与我一翳: :佟弱弱志黠本磷究蹶嗽镌任镪贾献均强崔论文中襻了嗡确鹃说鹗并表示谢 意。 学位论文作者签字:麦扣、以签字爨期:乒,0 6 年:;月厂爨学位论文作者签字:蕊p 、己签字爨期:乒,0 6 年:;月爨 学位论文版权使用授权书 本学健论文作者完金了解盒g 王些盔堂鸯荚慑磐、使用学位论文的娥定,育龊镰鐾 劳囱阑家有关鄹门藏极梅送交论文静复印俘帮磁盘,兔诲论文援套阕或借阕。本人授校垒 l l 兰墼盔翌可醛将学谴论文的全部藏部分论文内容编入有关数据瘁进行检索,可吼采用影 印、缩窜域扫描等复制手段保存、汇编学位论文。 ( 保密的学位论文在解密后适用本授权书) 学位论文作者然名壶? 小l 签字目期:争柙6 年吾月爿日 i 学位论文作者毕业舞去向: 工作单位:岛麓b 馐郫电靓纪滑泔# 乏 通讯地址:7 导蜉签毫 签字日期 e g - i 磊:8 蚵# z 燃k 邮编: 致谢 蹑年半的研究生学习生涯即将结泰,圈茸这段历程,在学校的专业知识学 习和在中国电子科投集团公司第3 8 研究质信号部的年半的课题礤究,我的每 一点进步都离不开老师们辛勤的指导、同学们热情的帮助以及父母的支持,在 此,我要向他们致以最诚挚的感谢! 首先要感谢我的导师吴曼青教授。他治学严谯、实事求是、忘我工作,在 百忙之中给予我学习上的耐心指导与生活上的体贴关怀,成为我人生道路上的 指路明灯。能够成为吴老师的一名学生,实在是我人生中的一大幸摹。 闻对我还要感谢信号部的洪一和高新成两位主任。整个工作自始至终都得 到两位主任静悉心指导,在工作中,袍们那高深韵学术造诣、丰富的实践经验、 敏锐黪满察力,递我菰赧。两位主任不光在学术上给予我很多的指寻,而鼠在 做人处世方瑟给予我摄多窟发,他们楚我静良师,委是我的益友,谴我倍受感 动并将受益终生。在此趣秀位圭荏表示衷心弱感谤 ! 熙外,我还要感谢3 8 与唾信号部麴刘军、漫灏等爆嬉,本文的缀多杰容裁是 与他们的交流和讨论中,相互感发,共恩完戏的。 感谢合腮工业大学计算机与信息学院的蒋建豳院长、王镢生等老烬在工l 乍 和科研上的关心和帮助,刘灵、闫逢军、代传堂等同学的帮助和支持。 特别地,我要感谢我的父母,感谢他们对我的培养和教育。二十多年来, 他们默默地奉献精,这份爱,促使着我在人生路上继续前进。 最后,爵次对所有关心我的老师、亲人及朋友表示衷心的感谢! 作者:潮,j 、萌 2 0 0 6 年3 月 1 1 研究背景及意义 第一章绪论 1 9 6 5 年发表静c o o l e y t u k e y 快速俸立| - 变换( f f t ) 算法【”,开创了数字信 号处理鲍一拿毅墨程。在镬爆数字偿号处理技术懿应臻矮域墨,鳐:谱分析按术、 棚关分瓠、嚣达信号处理、声纳信号处理、謇适痰滤波,f f t 算法都起着极为 重要的作用i 。 随着雷达处理的信号带宽不断提赢,楣应的处理能力要求不题增强,藤俘 为信号处理领域内时域到频域变换工具的f f t ,其运算速度棚应也在不断加快。 如在嚣达成象处理中,要达到o 3 m 的分辨率,信号带宽要达到1 g h z 。如果直 接在数字域上处理,则信号采样速率要在1 g h z 以上,如果直接进行大范围成 象,则f f t 运算的数据吞吐率在1 g h z 以上。在电子对抗领域,信号采样一般 需要g h z ,需要的数据吞吐率在g h z ,为此从未来发展情况看,孺要有高速 率的f f t 运算。 鞲在实现f f t 多采爝薹4 运算,专用处瑾器有采用基8 的。提商f f t 的基 数可以大幅度提篱交换鹃速度,毽是资源静消耗将交褥很大。随着a 1 t e r a 公司 推出怒大容量静s t r a t ixi i 系到芯片,镬褥通过采爝离基数算法来实现f f t 变换成为霹能。 1 2 豳内外的研究现状 对于d f t 运舞快速算法游磷究在8 0 年代中期已经袋熟,近年来有关f f t 簿 法的文献已不多见。f f t 算法尽管极大程度酶减少了离散薅立甘交换的计算潼, 但其计算大点数的d f t 时计冀量还是大豹惊人,鼹以它的掺广与计舞车珏接术的发 展也慰密切相关的。计算极技术为f f t 算法提供了坚实鲍运行平台。隧簧觋代 科技的不断进步,特别是电子器l 牛生产工艺的提高,为数字信号处理提供了更 加理想的实现手段。f f t 算法可以用不同的方式实现:通用计算誊几、邋用数字信 号处理( d s p ) 芯片、f p g a 及用于f f t 的专用集成电路( a s i c ) t 3 l 。表卜1 列举了一 些各种手段实现1 0 2 4 点f f t 的性能【4 。 近年来有关f f t 的研究大多集中在算法的并行结构及f p g a 的实现上。随着 各大f p g a 厂商继续推出规模更大、速度更高的产品,随着不断丰富的接口配置 鞠备释嵌入式开发系统的支持,全s o c 系统也飘经开始应用。基本的核心是 f p g a 内部嵌入软硬d s p 核心和各种接翻i p 核,就可以完成不同要求的雷达信号 处耀流程。并星荚强大的可编程麓力,使得当系统进行算法升级时,仍然具有 馒大黪空耀。广泛懿外部接霹霸总线镄议支持,使褥箕设计赘加简洁快速。 全疆嵩效的软硬牛l p 核怒进行二次开发释始识复筹l 静极好平台。随蔫各稀 通用鄹专用i p 核的掇供,f p g a 憋系绞开发被太大麓 乞,鄹蛙各裂开发工具瞧大 量涌现,郯极大的撼进了f p g a 在雷达傧号处理系统中蛉应用。开发周期大蠛度 缩短,而性能和可靠性等却摁到大幅掇高。所有这些为高速f f t 的实理提供了 极好的支持。 表卜 鑫蘩各稚实瑗方法竞藏f f t 静链辘 黼“鋈i 篙矧鬻豢幽苎翮溯羞矧 冒一 p ql c 瓣 4 ”鞭 目_ _ ,_ _ - ”。“一 舢一l 器嚣l m 嫩 “m m 1 d 5 啪2 h m 0 弘m 删牡e 喇, 0 j u m 构b l s o c z 忡。叩q 8 曼 l 址监撼童筵 3 3 ”i 2 2 - , 2 l n 址b l 0 , 3 5 岫 33; 1 0 时 i 0 】3 岍 ( 1 6 m 蚶。f f tu 习 o j j 啪1 iu 批口艘工 e 醴 * 蔓 | 一 l h - c v k 8 ”o t 鄞m m # 0 l $ 一 j : 。目* 赫a m 。d s p h 。0 6 0 7l 脚 - u ”# o g d f n o “ k d h m m 盟j m o d u l e d 5 啪 0 jl 慨 j | 口1 jl 眦 2 0 6 m e el u 搬v # t 母 l o 蝴m n l 日p # “ 瓣 | 二 * i , i 。! i * 一 l l 凇1 1 9 9 9 x _ | * l - l 酗; w = | 一l g h 0 敷上k a 3 8 m 日 ” l i t v * e “ 3 1 日2 o ”s ) , in 。“1 5 1 2u e o 1 必 2 0 0 4100 9 帅 f 怒 1t 3 t 0 - o p 崞 1 5 9 3 本文研究肉密 本课题将主要研究慕用綦1 6 实现f f t ,相对于基4 寒谠,基1 6 浆数据调 2 藤遽率可以下辫一半,在内部慰群运繇时钟幸砉隰下,运算速度榴应熬提离8 继。 磷内郏运算单元却只提赢5 蠖,因此整个效率可以雩嚣到大大提懑。照饕f p 娃a 内部蜘资源不嫒增多,将f f t 运算的基徨扩大是转趋势。 本文章节熬痰容努酝热下: 第一章简单介绍f f t 硬件实现的发展现状。 篇二章介绍可编程器件的结构特点和未来的发聪趋势,详细说明f p g a 设 讨过程中兹臻鼹蒙粼秘薅垮分辑。 第三章详缎介绥f f t 鞭理 第圆章主嫠介绍如何使用较低基激的f f t 实现较高蒸数f f t 的方法,详细 讲述4 0 9 6 点鞠2 5 6 点墓1 6 - f f t 的实现过程。 最爱怼全文工黪遵蜇了总臻,撵澎嚣要逡一步深入鹾究懿滔题。 2 1f p g a c p l d 简介 第二章可编程逻辑器件 在数字纯、信息纯翡时代,数字集成电路应用的菲常广泛。随着徽电子技 术与工艺麴发展,数字集成瞧路麸奄予管、晶体管、中夺娆模集成电路、超大 耀模集成壤鼹( v l s i c ) 逐步发展到今天静专躅集成嘏路( a s i c 。a s i c 的出 现降低了产品躲生产戏本,提高了系绞戆可靠挂,减少了产熬静物理尺寸,雄 动了社会的数字化进程。但是a s i c 因其设计周期长,改舨投资大,灵溪性差 等缺陷制约着它的应用范围。硬l 牛工程爆裁望窍一蕈申更灵活的设计方法,根搌 需要,在实验室就能设计、更改大规模数字逻辑,研究自己的a s c i 并玛上投 入使用。这就是可编程逻辑器件提出的基本思想。 可编程逻辑器件随着微电子制造工慧的发展取得了长足的进步。从早期的 只能存储少量数据,宪成简单逻辑功能的可编程只读存储器( p r o m ) 、紫外线 可擦除只读存储器( e p r o m ) 和电可擦除只读存储器( e e p r o m ) ,发展到能 完成中大舰稹的数字逻辑功能的可编程阵列逻辑( p a l ) 和通用阵确逻辑 ( g a l ) ,今天已经发震成为谭戬完成超大藏模的复杂缀合逻辑与时序逻辑的现 场哥编程逻辑器件( f p g a 帮复杂可编程逻辑器件( c p l d ) 。随着工艺技术 瓣发展与市场攥娶,超大勰模、高速、低功耗熬新型f p g a c p l d 不断摊陈崮 辑。毅一代的f p g a 甚至集成了中央处理器( c p u ) 戴数字处理器( d s p ) 内 核,在片f p g a 上进行软硬传协同设计,为实现片上霹编程系绞( s o p c , s y s t e mo np r o g r a m m a b l ec h i p ) 提供了强大的硬件支持p j 。 2 1 1f p g a 和c p l d 的比较 f p g a c p l d 黢继承了a s i c 的大援模、裹集成度、裹霹靠性缝钱点,又克 服了普通a s i c 设计周期长、投资大、灵活性蓑的缺点,逐步成为复杂数字硬 件电路设计的理想酋选。当代f p g a 、c p l d 有以下特点i 6 】: 规模越来越大。随着v l s i ( v e r yl a r g es c a l ei c ,超大规模集成电路) 工艺 的不断提高,单一芯片内部可以容纳上百万个晶体管,f p g a 芯片的规模 也越来越大。单片逻辑门已愈千万,如s t r a t i xi i 的e p 2 s 1 8 0 已经达到千万 门的规模。芯片的规模越大所能实现的功能就越强,同时也更适于实现片 上系统( s o c ) 。 开发遗程授赛小。f p g a c p l d 芯片在出厂之前都做过严格的测试,而且 f p g a c p l d 设计灵活,发现错误嚣寸可盔按更改设计,减少了投资风陵, 节省了许多潜在豹兹费。掰激不毽诲多复杂系统使用f p g a 完成,搂至设 计a s i c 时也器把实现f p g a 功能撵捉俸菇必要鹃步骤。 f p g a c p l d 一般可以爱笺建缠壤、擦黪。在不激变外疆电路麴壤况下, 设计不围片内逻辑簸能实蕊不醚的电路功能。赝以,用f p g a i c p l d 试割 功熊拶枫,姥以最快的速度占领市场。甚型在礴魑领域,因为姻关标准协 谈发演太侠,设计a s i c 繇不上攘术数燹瑟速度,灵煞依靠f p g a c p l d 完成繇统的研制与开发。 f p g a c p l d 开茇工粪譬黢纯,凄瓣强大。凌在,f p g a c p l d 开发z 襞耱 类繁多、智能化高、功能强大。应用各种工具可以完成从输入、综合、实 理弱嚣重蕊片等一系列劫戆。透鸯攫多王蕊哥驭宠残对设诗魏镑囊、貔饿、 约柬、在线诵试等功能。这些工其易学蜀丽,可以使设计人员踅能集中精 力遴嚣邀魏设诗,映速褥产鑫臻两市场。 耨受f p g a 连嵌c p u 或d s p 内核,支挎软硬 串协同设计,w 蔽作为片上 瑶绩程系统( s o p c ) 麴磺| f 孛平蠹。 瑟受f p g a 趣部内嵌毫瞧缝a s t c 筑h a r dc o r e 。遵道逮些h a r di p ( 辩谖 产权) 可以完成菜媳裹逮复袋设计( 妇s p l 4 。2 、p c ie x p r e s s 、f i b r e c h a n n e l 等通信领域成熬标准稻接豳等) ,挺瘫系统黥工佟频率与效麓,减轻王鼷耀 任务量,规避了研发风睃,加速了研发避糗。 f p g a 与c p l d 豹区爨及联系愆袭2 - 繇示,逶遥对照霉疆糯深对f p g a 帮 c p l d 各自特点的整体把握。 表2 1f p g a 与c p l d 的区别及联系 矮爨 f p g ae p l d备注 结鞠工艺多鸯l u t 招寄存器多为乘积顼,工艺多 结构,实现工艺多为为e 2 c 赫o s ,也包含 s r a m , 也包鸯 e e p r o m 、f l a s h 、 f l a s h 、a n t i f u s e 罄a n t i w f u s e 等不同工 工艺艺 触发器数量多 少 f p g a 更适合实现 时序逻辑,c p l d 多 用于实现组合逻辑 p i n t op i n 延时 不可预测固定对f p g a 而言,时 序约柬和仿真非常 重要 f 撬模与逻辑复杂度兢穰大,港辑复杂度规模小,逻辑复杂废 c p l d 用于实现较 嵩,掰型器件离达千低 小规模设请 万门级 成本与捡撂 残本舞、娩格裹成本低、铃接低c p l d 用于安觋羝 成本设计 编程与配羹一般瓤括两种,外挂春鼹静编程方式+ 一 f p g a 掉电后一般 b o o t r o m 和通过种魁通过编程器烧写将丢失原有逻辑配 c p u 或d s p 等在线r o m ,另一种较方便置。而反熔丝工糍 编程。多数基本属于的方式是通过i s p 模的f p g a ,如a c t e l r a m 型,掉电后程式。一般为r o m 型,的某然嚣件族和翻 序丢失掉电后程序不丢失前一些内嵌f l a s h 或 e e c m o s 韵 f p g a ,如l a t t i c 的 x p 器件藏,可敬实 璐菲荔失配置方式 保密挫 一般保密牲蔫好般f p g a 不容荔 实瑗热密,缓是爨 懿一蝗采用f l a s h 加s r a m 工艺的毅 型器件( 如l a t t i c e x p 系列等) ,在内 部嵌入了加载 f l a s h 。能提供更 高的保密性 五连结构,连线资 分布式,丰富的布线集总式。相对布线资 f p g a 布线灵活,但 源 资源源有限 憝时序更难规划, 般需要通过时序 约束,静态对穿分 橱,黠序仿囊等攀 段提麓并验薹黠露 性能 适用的设计类型 复杂的时序功能簿单逻辑功能 2 1 2a l t e r af p g a c p l d 的结构 舀前。各种设计所包宙的功能越采越复杂,性能要求越来越高,这就要求我 们究分凝解掰羯的f p g a c p l d 器 牛的结构特点,合理魂使用萁内部的功能模 块帮京线资源。 如今躲f p g a 晕已不嫂饺楚传统意义上懿遴麓哥编稔逻辑,雨楚越来越像一 5 个可编程的片上系统( s y s t e mo np r o g r a m m a b l ec h i p ) 。霹镛瑷遥辑嚣 牛媳罄疆 躲功能模块越来越丰蜜,如片内r a m 、锁掇环( p l l ) 、数字售譬处理( d s p ) 模块、专用高速电路旗至嵌入式c p u ,这些都需要用户去充分理勰其结秘特点 和工髂原理,掌握箕使用方法,才能最大程度的发挥它们在系统中的作耀,从 而後耀户的设计遮到最饶纯。 a l t e r a 公词的可编程逻辑产晶可以分为黼密度f p g a 、低成本f p g a 和c p l d 等3 粪,每个产菇类粼褒不灞对潮都有箕主流产灞。在a l t e r a 遮蔻年豹产蘸系 列中,商端商密发f p g a 有a p e x 、 s t r a t i x 和s t r a t i xi i 系硎;低成本f p g a 有 a c e x 帮c y c l o n e 系列;c p l d 鸯m a x 7 0 0 0 b 、m a x 3 0 0 0 a 积m a xi i 。 本节主要 奔绍a i t e r a 静s t r a t i xi i 系歹器 警静结构褥点。 a l t e r a 公霉2 0 0 4 年发蛮了崭毅侮系瓣丈容量s t r a t i x i i 系裂f p g a 。 s t r a t i xt if p g a 是具凑避爨繁刨豹是逶废f p g a 体系,奁筚个器件串兵祷双倍 多驰逻辑褰量,魄第一代s t r a t i x 器件速度恢5 0 。最巍一代懿a l t e r a 太容量 f p g a 体系比第一代s t r a t i x 嚣彳牛效枣提裹了2 5 ,允谗设计卷憋爨多熬功能合 在隧小的逻辑馒积之上。9 0 r i m 制造工芑和楚效体系楗结合具有最大的集贼度, 和璐往的大容蘑体系褶院,大大地降低了成本。 9 0 n m 工敬技术的可用性为构建更高效的体系提供了机遇和挑战。缀明显 对于大窑豢设计,应蠲了将避1 5 年的4 输入查筏滚( l u t ) 结襁是有限髓瀚,对 客户造成不必鞭的经髓和成本限制。从而a l t e r a 公司开发了新的逻辑结构,它 鑫“爨适畿逻辑撰块”渔l m ) 象残,龛诲糖藩凌裁之阙共事遂辑。逮祥,对予 实蕊一定静功能,a l m 鞭沈戳经箕它大容蠖f p g a 体系经能和效率更高f 7 1 。 个a l m 鸯多这,个输入熬缝合逻辍块,畿够实现多逡两个变疫不霹懿 独立功能,包辐多达六辕入龄锃意功能鞫慕些乇输入懿功能。每个a l 酣还有 甄个霹绽程毒枣嚣,髑令加法器,个遂袋链,一个热法树链鹈一个寄存嚣链, 这些都能够更离效地铡用器 牛的逻辑窖爨。这个创凝镁麴逻辑模块能够谯更小 的物理甄积上实现更多的逻辑的容爨,其蠢更藏的器传性能,比以往戆f p g a 体系新使用的逻辑结构强大2 5 倍。s t r a t i xi i 器 串的逻辑是s t r a t i xf p g a 的嚣 倍多,将近1 8 0 ,0 0 0 个等效逻辑单元( l e ) 。 s t r a t i xi i 功能主簧包括: 巨大容餐和更高的性能:和前代f p g a 相比,s t r a t i xi i 器件系列舆有两 倍瓣容最秘9 m 多使静静绪量,运行速魔捷5 0 。 赢遮4 倍瀚d s p 带宽:s t r a t i xi i 器件对最复杂数字信号处理( d s p ) 功能提 供了蔌速、爵疆溅靛戆熬,每个器释具窍多这3 8 4 令1 8 x 1 8 霞懿乘法器, 阚瓣支持辫带竟并幸亍楚瓒3 7 0 m h z 经能。 支持最毅懿存德嚣锌:s t r a t i xt i 嚣磐辍专爝惑爨支撩最戆懿矫罄存德搂匿, 穆糖2 6 6 m h zd d r 2s d r a m ,3 0 0 m h zr l d r a mi i 潮2 0 0 m h zq d r i is r a m 器传,其露意效蛇繁燮鄹i 0 管嬲支持秘多个撂准6 4 位1 6 8 1 4 4 辫d i m m 接嗣。 其海d p a 的i g b s pi o 传送速率:s t r a t i xt if p g a 中麴焱入露露( s e r d e s 和动态姻位调整( d p a ) 电路能够达到1 g b p s 的源同步i o 性能,而且不会占 用逻辑资源,同时简化了p c b 布局和高速数据传送的时序管理。 更囊熬t r i m a t r i x 存撩蒂宽:每个s t r a t i xi i 嚣臀其蠢离速9 m b i t 豢鸯鬓校猃 能力的的存储量,支持各自太存储爨的应用。 s t r a t i xi i 器件还有一照创新性的功能:如基于商级加密标猴( a e s ) 的非翁失 1 2 8 位掬密技术。这嵇细密技术确僳了客户设计裁s t r a t i xl lf p g a 中翡知识 产较( 疆) 不会蔹霞猛。s t r a t i x i i 嚣昝据称燕燕一款采翔蘩爨失密镌豹 s r a f p 醛a 。 业爨最炎遴匏设计软件4 0 舨q u a r t u sl i 设计软件支持s t r a t i xl i 器件。 袭2 - 2s t r a t i x l 袭弼f p g a 资源劐表 特性 e p 2 s 15e p 2 s 3 0e p 2 s 6 0e p 2 8 9 0e p 2 s 1 3 0e p 2 s 1 8 0 a l m s 6 2 4 0 1 3 5 5 2 2 4 1 7 63 6 3 8 45 3 0 1 67 1 7 6 0 a l u t s ( 1 ) 1 2 4 8 02 7 1 0 44 8 3 5 27 2 7 6 81 0 6 0 3 21 4 3 5 2 0 等价l e ( 2 ) 1 5 6 0 03 3 8 8 06 0 4 4 09 0 9 6 01 3 2 5 4 01 7 9 4 0 0 虢5 1 2r a m1 0 42 0 23 2 94 8 86 9 9 9 3 0 m 4 kr a m7 81 4 42 5 54 0 86 0 97 6 8 蜒一r 矗辩ol2469 憨r a m ( b i t ) 4 1 9 3 2 8i 3 6 9 7 2 82 5 4 4 1 9 24 5 2 0 4 8 86 7 4 7 8 4 09 3 8 3 0 4 0 d s pb l o c k s1 21 63 64 86 39 6 。e n h a n c e d 22 辱 4硅4 p l l s f a s tp l l s 4 嬉88 88 u s e ri 03 6 6 5 0 0 7 1 89 0 21 1 2 8 i 1 7 0 砼l n s 波恿: ( 1 )一个a l m 单元中宙有龋个a l u t 单元。 ( 2 这攫寝承s t r a t i xl l 中酶逻爨澄澡等焉予s t r a t i x 中l e 懿鼗嚣i s 。 q u a r t u s 设计较俘采用了一蹙新的类a s i c 的设计功能,在统一酌设计环 潲中为客户瓣供丰富的综合、优化、验证和系统级设计工具。a l t e r a 还掇供现 成的为s t r a t i xi l 器件伉他的i p 梭。 s t r a t i xi i 壤鸯h a r d c o p y 瑟褥诧a s i c 叛,淘客户撼供整鬃产兹猿寿瓣秃缝 瓣移檀鼯衽,逮建其它谨簿半释钵厂商掰不其备的。s t r a t i xi if p g a 的h a r d c o p y 8 器件和f p g a 实现相比增加了性能,减小了功耗。 2 1 3 下一代可编程逻辑器件硬件上的四大发展趋势 下一代可编程逻辑器件硬件上的四大趋势可总结如下1 6j :最先进的a s i c 生 产工艺将被更广泛的应用于f p g a 为代表的可编程逻辑器件:越来越多的高端 f p g a 产品将包含d s p 或c p u 等处理器内核,从而f p g a 将由传统的硬件设计 手段逐步过度为系统级设计平台:f p g a 将包含功能越来越丰富的硬核( h a r d i p c o r e ) ,与传统a s i c 进一步融合,并通过结构化a s i c 技术加快占领部分a s i c 市场。低成本f p g a 的密度越来越高,价格越来越合理,将成为f p g a 发展的 中坚力量。这4 个发展趋势可简称为先进工艺、处理器内核、硬核与结构化 a s i c 、低成本器件。 1 ) 先进工艺 f p g a 本身是一款i c 产品。从最早的数字逻辑功能的可编程阵列逻辑( p a l ) 和通用阵列逻辑( g a l ) 发展到复杂可编程逻辑器件( c p l d ) ,直至今日可以 完成超大规模的复杂组合逻辑和时序逻辑的现场可编程逻辑器件( f p g a ) 只用 了短短的几十年时间。一方面可编程逻辑器件的应用场合越来越广泛,客户对 f p g a 等可编程器件提出了更苛刻的要求,希望f p g a c p l d 的封装越来越小, 速度越来越快,器件密度越来越高,有丰富的可编程单元可供使用,并要求基 础功能强大的a s i c 硬核,以便实现复杂系统的单片解决方案。另一方面, f p g a 、c p l d 等可编程逻辑器件的可观利润又要求生产商不断降低器件成本, 从而在激烈的市场竞争中立于不败之地。这切就要求可编程器件生产商不断 将最新、最尖端的i c 设计方法与制造工艺用于f p g a c p l d 的新产品中。 2 ) 处理器内核 电路设计主要有偏硬与偏软两种应用,偏硬的应用即数字硬件电路,其特点 是要求信号实时或高速处理,处理调度相对简单,目前f p g a c p l d 已经逐步 取代传统数字硬件电路,成为偏硬部分的主要设计手段;偏软的应用即数字运 算电路,其特点是电路处理速度要求相对较低,允许一定的延迟,但是处理调 度相对复杂,其主要设计手段是c p u 或者d s p 。偏硬电路的核心特点是实时性 要求较高,偏软电路的核心特点是调度复杂。 偏硬和偏软的两种电路是可以互通的,比如目前有一些高速d s p ,其工作频 率达到g h z 级,高速的运算速度使其延迟与传统硬件并行处理方式可以比拟。 而在f p g a 内部也可以用r e g i s t e r 和l u t 实现微处理器以完成比较复杂的调度 运算,但是将消耗很多的逻辑资源。所以目前有一个市场趋势,即f p g a 和d s p ( 或c p u ) 互相抢夺应用领域,如在3 g ( 第3 代移动通信) 领域,有3 种解 决方案,分别以纯a s i c 或f p g a ,f p g a ( 或a s i c ) 加d s p ,纯d s p 。其实 究竟选择哪种系统方案的关键是看系统灵活性、实时性等指标的要求。 3 ) 硬核与结槐他a s i c 赢端f p g a 的另一个重要特点魁集成了丰塞的h a r di pc o r e ( 碟知识产权 核) 。这些h a r d i p c o r e 一般究成高速、复杂的设计标准。通过这些h a r d i p c o r e , f p g a 正在逐涉进入一些过去只有a s i c 能究成的设计领域。 f p g a 一般采露溺步时钟设计,a s i c 番时采粥舁步逶辑设计;f p g a 毅 采用全局时钟驱动,a s i c 一般采用门控时钟树驱动;f p g a 一般采用时序驱动 方式农各级专用毒线漆深土灵活毒绒,嚣a s i c 一虽浚诗究成磊,其布线蕊定。 正蹩圈淘这些温著区翔,a s l c 设计与f p g a 设计捅眈有以下优势。 功耗更低。a s l c 出予其门搂对镑缕褥秘是疹奄路设计方式,葫裁饕髫爨。 这点对于些篱攀设计并不鞠显,值是对于六规模器件和笈杂设计就燮得十 分鬟要。爨翦鹰些鼹终处理器a s i c 嚣功耗在数卡糕敷上,露暴鼹超太援模 f p g a 宠或这类f p g a 设计,其霸耗将不可愚议。 能究成高速设计。a s i c 逡援熬设计频率范爨毖f p g a 广泛缛多。睡嚣f p g a 宣称的最快频率不过5 0 0 m h z ,蕊对予大规模嚣传,资溪裂赐搴裹一黪静设 计想赢达2 5 0 m h z 都是非卷困难的。面很多数字a s i c 的工作频率在1 0 g h z 以上。 设计密度大。由子f p g a 的底层硬件结构一致,在实现用户设计时会谢大量 单元不缱充分澍蔫,掰戳f p g a 懿设诗效率并不商。与a s i c 褶院,f p g a 的镲效系统门和a s i c 门的设计效率比约为1 :1 0 。 a s i c 与f p g a 稿院懿这3 个霾萋糖势褥蒋统f p g a 攥滁程穰多嵩速、复杂、 离秘耗没诗领域之外。丽f p g a 与a s t c 相院的优点又十分明显。 f p g 矗毙a s i c 设诗嚣麓楚。f p g a 翁设诗滚程貔a s i c 擒纯诲多,甏置f p g a 可以重复开发,箕设毒 与耀试属凝毙传统a s i c 设计显著缩鬣。 f p g a 魄a s i c 秀发戏本绦。a s i c 蛉n r e 赞翔非掌麓,嚣毽羹n 鬏e 失 败,必须糕巨资黧薪设诗。加之a s i c 开发熙嬲长,大力成本激增,掰黻 f p g a 鲍开发成本与a s i c 相比不可爆岛惩落。 f p g a 眈a s i c 设计灵活。因为f p g a 易于傍敬,可耋复缡理,翳 奠f p g a 更邋用于那些不断演遴的标准。 鲡衙麓健f p g a 和a s i c 两者扬长遥短,互相融合程? 解决方法有穗毒枣想爨: 一是在f p g a 中内嵌a s i c 模块,以完成高速、大功耗、复杂的设计部分,丽 对予箕链低速、餐渤糕、稻对篱荦静毫路剐赢穆统的f p g a 逶辑资源完成,这 糟惑想体现了f p g a 淘a s i c 的融台;男一种恿路是在a s i c 中集成部分可编 疆熬灵漤醚嚣瓷澡,或者疆承藏熬f p g a 设诗,褥之转换为a s i c ,这释愿怒 焙a s i c 囊f p 醛a 鹣融合,被称为结擒仡a s i c 。 l o 2 2v h d l 硬件描述语言 释透鬻浆软终稷彦设计浯言不同,h d l 豹圭黉罄弱楚覆来缝写莰诗文 孛并 建立硬件器件的模型。硬件系统的基本性质和硬件设计的方法决定了h d l 的主 要特性。h d l 魄语法积语义夔定义是为了缝搂逑疆馋懿行为,它应当黪耋然圭| 蠡 描述硬件中并行的、非递烟的特性以及时间关系。 芷如汇编语言被高级辗序设诗语言鼹代替那榉,门级毫路摄匿必然坡h d l 所代替。软件设计者很喜欢使用高级语言程序的编译方法,h d l 及其编译器使 得硬件设计糟也能使用这种高层次设计的编译方法。 h d l 最翠是i v e r s o n 公司予1 9 6 2 年提出的,迄今为止融经出现了许多 种h d l 。其中,绝大多数都是专夜的产晶,如s i l v a r + l i s c o 公司的h h d l 、 z y c a d 公霹麓i s p 、g a t e w a yd e s i g n a u t o m a t l 0 n 公司豹v e r i l o g 黻 及m e n t o rg r a p h i c s 公司的b l m 等。还有

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论