汽车尾灯控制器的设计.doc_第1页
汽车尾灯控制器的设计.doc_第2页
汽车尾灯控制器的设计.doc_第3页
汽车尾灯控制器的设计.doc_第4页
汽车尾灯控制器的设计.doc_第5页
已阅读5页,还剩16页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

长沙理工大学计算机组成原理课程设计报告汽车尾灯控制器的设计 熊 雄学 院 计算机与通信工程 专 业 计算机科学与技术 班 级 085010502 学 号 200550080226 学生姓名 熊 雄 指导教师 肖晓丽 课程成绩 完成日期 2008年1月18日 课程设计任务书计算机与通信工程学院 计算机科学与技术专业 课程名称计算机组成原理课程设计时间20072008学年第一学期1920周学生姓名熊 雄指导老师 肖晓丽题 目汽车尾灯控制器的设计主要内容:本课程设计要求采用eda技术设计简易的汽车尾灯控制器。系统设计要求采用自顶向下的设计方法,顶层设计采用原理图设计方式,它由时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块四部分组成。系统实现采用硬件描述语言vhdl把系统电路按模块化方式进行设计,然后进行编译、时序仿真并分析。要求:(1)通过对相应文献的收集、分析以及总结,给出相应课题的背景、意义及现状研究分析。(2)通过课题设计,掌握计算机组成原理的分析方法和设计方法。(3)学习按要求编写课程设计报告书,能正确阐述设计和实验结果。(4)学生应抱着严谨认真的态度积极投入到课程设计过程中,认真查阅相应文献以及实现,给出个人分析、设计以及实现。应当提交的文件:(1)课程设计报告。(2)课程设计附件(主要是源程序)。课程设计成绩评定学 院 计算机通信工程 专 业 计算机科学与技术 班 级 计算机05-02 班 学 号 200550080226 学生姓名 熊 雄 指导教师 肖晓丽 课程成绩 完成日期 2008年1月18日 指导教师对学生在课程设计中的评价评分项目优良中及格不及格课程设计中的创造性成果学生掌握课程内容的程度课程设计完成情况课程设计动手能力文字表达学习态度规范要求课程设计论文的质量指导教师对课程设计的评定意见综合成绩 指导教师签字 年 月 日汽车尾灯控制器的设计 学生姓名:熊雄 指导老师:肖晓丽摘 要 本课程设计根据计算机中状态机原理,采用eda技术设计了简易的汽车尾灯控制器。系统设计采用自顶向下的设计方法,顶层设计采用原理图设计方式,它由时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块四部分组成。系统实现采用硬件描述语言vhdl把系统电路按模块化方式进行设计,然后进行编译、时序仿真等。本文详细地介绍了整个设计流程。关键字 eda;汽车尾灯控制器;时钟分频 目录1引 言11.1 设计的目的11.2 设计的基本内容12 eda、vhdl简介12.1 eda技术12.1.1 eda技术的概念12.1.2 eda技术的特点22.1.3 eda设计流程22.2 硬件描述语言(vhdl)22.2.1 vhdl简介22.2.2 vhdl语言的特点33汽车尾灯控制器的设计过程33.1系统需求分析33.2汽车尾灯控制器的工作原理33.3各组成模块原理及程序43.3.1时钟分频模块53.3.2 汽车尾灯主控模块53.3.3左边灯控制模块63.3.4右边灯控制模块64系统仿真74.1分频模块仿真及分析74.2汽车尾灯主控模块仿真及分析84.3左边灯控制模块仿真及分析84.4右边灯控制模块仿真及分析94.5整个系统仿真及分析9结束语11致谢12参考文献13附录14 熊雄 汽车尾灯控制器的设计 第16页 共16页161引 言 随着社会的发展,科学技术也在不断的进步,状态机的应用越来越广泛。现代交通越来越拥挤,安全问题日益突出,在这种情况下汽车尾灯控制器的设计成为解决交通安全问题一种好的途径。在本课程设计根据状态机原理1实现了汽车尾灯常用控制。1.1 设计的目的本次设计的目的就是通过实践深入理解计算机组成原理,了解eda技术2并掌握vhdl硬件描述语言的设计方法和思想。以计算机组成原理为指导,通过学习的vhdl语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识和基本单元电路的综合设计应用。通过对实用汽车尾灯控制器3的设计,巩固和综合运用所学知识,提高ic设计能力,提高分析、解决计算机技术实际问题的独立工作能力。1.2 设计的基本内容根据计算机中状态机原理,利用vhdl设计汽车尾灯控制器的各个模块,并使用eda 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。2 eda、vhdl简介2.1 eda技术2.1.1 eda技术的概念eda是电子设计自动化(electronic design automation)的缩写,在20世纪90年代初从计算机辅助设计(cad)、计算机辅助制造(cam)、计算机辅助测试(cat)和计算机辅助工程(cae)的概念发展而来的。eda技术就是以计算机为工具,设计者在eda软件平台上,用硬件描述语言hdl完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。2.1.2eda技术的特点利用eda技术进行电子系统的设计,具有以下几个特点: 用软件的方式设计硬件; 用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的; 设计过程中可用有关软件进行各种仿真; 系统可现场编程,在线升级; 整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。因此,eda技术是现代电子设计的发展趋势。2.1.3 eda设计流程典型的eda设计流程如下:1、文本/原理图编辑与修改。首先利用eda工具的文本或图形编辑器将设计者的设计意图用文本或图形方式表达出来。 2、编译。完成设计描述后即可通过编译器进行排错编译,变成特定的文本格式,为下一步的综合做准备。 3、 综合。将软件设计与硬件的可实现性挂钩,是将软件转化为硬件电路的关键步骤。4、 行为仿真和功能仿真。利用产生的网表文件进行功能仿真,以便了解设计描述与设计意图的一致性。5、适配。利用fpga/cpld布局布线适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、布局布线。适配报告指明了芯片内资源的分配与利用、引脚锁定、设计的布尔方程描述情况。 6、 功能仿真和时序仿真。7、 下载。如果以上的所有过程都没有发现问题,就可以将适配器产生的下载文件通过fpga/cpld下载电缆载入目标芯片中。 8、 硬件仿真与测试。2.2 硬件描述语言(vhdl)2.2.1 vhdl简介vhdl(very-high-speed integrated circuit hardware description language)主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,vhdl的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。vhdl的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是vhdl系统设计的基本点。2.2.2 vhdl语言的特点1.用vhdl代码而不是用原理图进行设计,意味着整个电路板的模型及性能可用计算机模拟进行验证。2.vhdl元件的设计与工艺无关,与工艺独立,方便工艺转换。3.vhdl支持各种设计方法,自顶向下、自底向上或者混合的都可以。4.可以进行从系统级到逻辑级的描述,即混合描述。5.vhdl区别于其他的hdl,已形成标准,其代码在不同的系统中可交换建模。3汽车尾灯控制器的设计过程3.1系统需求分析 根据现代交通规则,汽车尾灯控制器应满足以下基本要求:1. 汽车正常使用是指示灯不亮2. 汽车右转时,右侧的一盏灯亮3. 汽车左转时,左侧的一盏灯亮4. 汽车刹车时,左右两侧的指示灯同时亮5. 汽车夜间行驶时,左右两侧的指示灯同时一直亮,供照明使用3.2汽车尾灯控制器的工作原理汽车尾灯控制器就是一个状态机的实例。当汽车正常行驶时所有指示灯都不亮;当汽车向右转弯时,汽车右侧的指示灯rd1亮;当汽车向左侧转弯时,汽车左侧的指示灯ld1亮;当汽车刹车时,汽车右侧的指示灯rd2和汽车左侧的指示灯ld2同时亮;当汽车在夜间行驶时,汽车右侧的指示灯rd3和汽车左侧的指示灯ld3同时一直亮。通过设置系统的输入信号:系统时钟信号clk,汽车左转弯控制信号left,汽车右转弯控制信号right,刹车信号brake,夜间行驶信号night和系统的输出信号:汽车左侧3盏指示灯ld1、ld2、ld3和汽车右侧3盏指示灯rd1、rd2、rd3实现以上功能。系统的整体组装设计原理如图3.1所示。图3.1 系统的整体组装设计原理3.3各组成模块原理及程序 汽车尾灯控制器有4个模块组成,分别为:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块,以下介绍各模块的详细设计。3.3.1时钟分频模块整个时钟分频模块的工作框图如图3.2所示。clk cpsz图3.2时钟分频模块工作框图时钟分频模块由vhdl程序来实现,下面是其中的一段vhdl代码:architecture art of sz issignal count:std_logic_vector(7 downto 0);beginprocess(clk) begin if clkevent and clk = 1then count = count + 1; end if; end process; cp= count(3);end art;3.3.2 汽车尾灯主控模块汽车尾灯主控模块工作框图如图3.3所示。left lpright rpbrake lrnight brake_led night_ledctrl. 图3.3 主控模块工作框图汽车尾灯主控模块由vhdl程序来实现,下面是其中的一段vhdl代码:architecture art of ctrl isbegin night_led=night; brake_ledlp=0;rp=0;lrlp=0;rp=1;lrlp=1;rp=0;lrlp=0;rp=0;lr=1; end case; end process;end art;3.3.3左边灯控制模块 左边灯控制模块的工作框图如图3.4所示。clk lp ledllr ledbbrrake lednnight lc图3.4左边灯控制模块的工作框图左边灯控制模块由vhdl程序来实现,下面是其中的一段vhdl代码:architecture art of lc isbegin ledb=brake; ledn=night;process(clk,lp,lr)begin if clkevent and clk = 1 then if(lr =0)then if(lp = 0)then ledl=0; else ledl=1; end if; else ledl =0; end if; end if;end process;end art;3.3.4右边灯控制模块右边灯控制模块的工作框图如图3.5所示。clk rp ledrlr ledbbrrake lednnight rc图3.5 右边灯控制模块的工作框图右边灯控制模块由vhdl程序来实现,下面是其中的一段vhdl代码:architecture art of rc isbegin ledb=brake; ledn=night; process(clk,rp,lr) begin if clkevent and clk = 1 then if(lr = 0)then if(rp = 0)then ledr =0; else ledr = 1; end if; else ledr =0; end if; end if; end process;end art;4系统仿真4.1分频模块仿真及分析分频模块由vhdl程序实现后,其仿真图如图4.1所示。图4.1 分频模块仿真图对其仿真图进行仿真分析:如图所示,首先生成一个600ns的时钟脉冲,通过时钟分频把600ns的脉冲分成一个40ns的脉冲,实现了信号同步。4.2汽车尾灯主控模块仿真及分析汽车尾灯主控模块由vhdl程序实现后,其仿真图如图4.2所示。 图4.2主控模块时序仿真图对时序仿真图进行分析:right,left,night,brake 为输入信号,right为1表示右转,left为1表示左转,night为1表示夜间行路,brake为1表示刹车。rp,lp,night_led,brake_led为输出信号。如图所示:当right为1时,产生一个rp为1的信号脉冲输出,当left为1时,产生一个lp为1的信号脉冲输出,当night为1时,产生一个night_led为1的信号脉冲输出。当brake为1时,产生一个brake_led为1的信号脉冲输出。4.3左边灯控制模块仿真及分析左边灯控制模块由vhdl程序实现后,其仿真图如图4.3所示。图4.3左边灯控制模块时序仿真图对时序仿真图进行分析:lp,lr,night,brake 为输入信号,lp为1表示左转,lr为1表示右转,night为1表示夜间行路,brake为1表示刹车。ledl,ledb,ledn为输出信号,表示汽车左侧的三盏灯。如图所示:当lp为1时,ledl输出为1表示左侧灯亮,当brake为1时,ledb输出为1表示左侧灯亮,当night为1时,ledn输出为1表示左侧灯亮。当lr为1时,左侧三盏灯输出均为0。即没有灯亮。4.4右边灯控制模块仿真及分析右边灯控制模块由vhdl程序实现后,其仿真图如图4.4所示。图4.4右边灯控制模块时序仿真图对时序仿真图进行分析:rp,lr,night,brake 为输入信号,lr为1表示左转,rp为1表示右转,night为1表示夜间行路,brake为1表示刹车。ledr,ledb,ledn为输出信号,表示汽车右侧的三盏灯。如图所示:当rp为1时,ledr输出为1表示右侧灯亮,当brake为1时,ledb输出为1表示右侧灯亮,当night为1时,ledn输出为1表示右侧灯亮。当lr为1时,右侧三盏灯输出均为0。即没有灯亮。 4.5整个系统仿真及分析按图3.1组装系统后的仿真图如图4.5所示。图4.5 整个系统仿真图对时序仿真图进行分析:right,left,night,brake 为输入信号,right为1表示右转,left为1表示左转,night为1表示夜间行路,brake为1表示刹车。rd1,rd2,rd3为输出信号,表示汽车右侧的三盏灯。ld1,ld2,ld3为输出信号,表示汽车左侧的三盏灯。如图所示:当right为1时,rd1输出为1表示右侧灯亮,当left为1时,ld1为输出为1表示左侧灯亮,当night为1时,ld2,rd2输出均为1,表示左,右两侧各有一盏灯亮。当brake为1时,ld3,rd3输出均为1,表示左,右两侧各有一盏灯亮。结束语通过两星期的紧张工作,最后完成了我的设计任务汽车尾灯控制器的设计。通过本次课程设计的学习,我深深的体会到设计课的重要性和目的性。本次设计课不仅仅培养了我们实际操作能力,也培养了我们灵活运用课本知识,理论联系实际,独立自主的进行设计的能力。它不仅仅是一个学习新知识新方法的好机会,同时也是对我所学知识的一次综合的检验和复习,使我明白了自己的缺陷所在,从而查漏补缺。希望学校以后多安排一些类似的实践环节,让同学们学以致用。在设计中要求我要有耐心和毅力,还要细心,稍有不慎,一个小小的错误就会导致结果的不正确,而对错误的检查要求我要有足够的耐心,通过这次设计和设计中遇到的问题,也积累了一定的经验,对以后从事集成电路设计工作会有一定的帮助。在应用vhdl的过程中让我真正领会到了其并行运行与其他软件顺序执行的差别及其在电路设计上的优越性。用vhdl硬件描述语言的形式来进行数字系统的设计方便灵活,利用eda软件进行编译优化仿真极大地减少了电路设计时间和可能发生的错误,降低了开发成本,这种设计方法必将在未来的数字系统设计中发挥越来越重要的作用。致谢本课程设计是在肖晓丽老师精心指导和大力支持下完成的。本课题在选题及设计过程中得到肖老师的悉心指导。她多次为我指点迷津,帮助我开拓设计思路,精心点拨、热忱鼓励。她渊博的知识、开阔的视野和敏锐的思维给了我深深的启迪。通过这次课程设计我从肖老师那学到不少有用的知识,也积累了一定的项目开发经验。本课程设计通过两周的设计与开发已基本完成,实现了汽车尾灯控制器的基本功能。但是由于课程设计时间较短以及水平有限,所以该控制器还有许多不尽如人意的地方。参考文献1 王爱英.计算机组成与结构.北京:清华大学出版社,2001.22黄仁欣.eda技术实用教程.北京:清华大学出版社,20063 曹昕燕,周凤臣,聂春燕.eda技术实验与课程设计.北京:清华大学出版社,2006.54 杨亦华,延明.数字电路eda入门.北京:北京邮电大学出版社,2003附录1主控模块library ieee;use ieee.std_logic_1164.all;entity ctrl is port (left,right,brake,night: in std_logic; lp,rp,lr,brake_led,night_led:out std_logic);end;architecture art of ctrl isbegin night_led=night; brake_ledlp=0;rp=0;lrlp=0;rp=1;lrlp=1;rp=0;lrlp=0;rp=0;lr=1; end case; end process;end art;2时钟分频模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity sz is port(clk:in std_logic; cp:out std_logic);end;architecture art of sz issignal count:std_log

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论