VHDL复习题.doc_第1页
VHDL复习题.doc_第2页
VHDL复习题.doc_第3页
VHDL复习题.doc_第4页
VHDL复习题.doc_第5页
已阅读5页,还剩7页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

一、选择题( A )1一个项目的输入输出端口是定义在:A. 实体中 B. 结构体中C. 任何位置 D. 进程体 ( B)2描述项目具有逻辑功能的是:A. 实体 B. 结构体C. 配置 D. 进程( A )3关键字ARCHITECTURE定义的是:A. 结构体 B. 进程C. 实体 D. 配置 ( D )4VHDL语言中变量定义的位置是:A. 实体中中任何位置 B. 实体中特定位置C. 结构体中任何位置 D. 结构体中特定位置 ( D )5VHDL语言中信号定义的位置是:A. 实体中任何位置 B. 实体中特定位置C. 结构体中任何位置 D. 结构体中特定位置( B )6变量是局部量可以写在:A. 实体中 B. 进程中C. 线粒体 D. 种子体中 ( A )7变量和信号的描述正确的是:A. 变量赋值号是:= B. 信号赋值号是:=C. 变量赋值号是= D. 二者没有区别( B )8. 变量和信号的描述正确的是:A. 变量可以带出进程 B. 信号可以带出进程C. 信号不能带出进程 D. 二者没有区别( )9对于信号和变量的说法,哪一个是不正确的:A. 信号用于作为进程中局部数据存储单元B. 变量的赋值是立即完成的C. 信号在整个结构体内的任何地方都能适用D. 变量和信号的赋值符号不一样( A )10下列关于变量的说法正确的是:A. 变量是一个局部量,它只能在进程和子程序中使用 B. B. 变量的赋值不是立即发生的,它需要有一个延时C. 在进程的敏感信号表中,既可以使用信号,也可以使用变量D. 变量赋值的一般表达式为:目标变量名= 表达式( C )11可以不必声明而直接引用的数据类型是:A. STD_LOGIC B. STD_LOGIC_VECTORC. BIT D. 前面三个答案都是错误的( C )12STD_LOGIG_1164中定义高阻的字符是:A. X B. xC. z D. Z ( A )13STD_LOGIG_1164中字符H定义的是:A. 弱信号1 B. 弱信号0C. 没有这个定义 D. 初始值 ( B )14使用STD_LOGIG_1164中的数据类型时:A. 可以直接调用 B. 必须在库和包集合中声明C. 必须在实体中声明 D. 必须在结构体中声明 ( B )15关于转化函数说法正确的是:A. 任何数据类型都可以通过转化函数相互转化B. 只有特定类型的数据类型可以转化 C. 任何数据类型都不能转化D. 前面说法都是错误的( C )16VHDL运算符优先级说法正确的是:A. 逻辑运算的优先级最高 B. 关系运算的优先级最高C. 逻辑运算的优先级最低 D. 关系运算的优先级最低( D )17VHDL运算符优先级说法正确的是:A. NOT的优先级最高 B. AND和NOT属于同一个优先级C. NOT的优先级最低 D. 前面的说法都是错误的( D )18VHDL运算符优先级说法正确的是:A. 括号不能改变优先级 B. 不能使用括号C. 括号的优先级最低 D. 括号可以改变优先级 ( B )19如果a=1,b=0,则逻辑表达式(a AND b) OR( NOT b AND a)的值是:A. 0 B. 1C. 2 D. 不确定( B )20正确给变量X赋值的语句是:A. XNULLC. CASE语句中的选择值只能出现一次,且不允许有相同的选择值的条件语句出现D. CASE语句执行必须选中,且只能选中所列条件语句中的一条( D )29VHDL中,为目标变量赋值符号是:A. =: B. =C. 10 THENQ1 0); - 置零ELSEQ1 = Q1 + 1 ; - 加1END IF;END IF;END PROCESS ; ; - 输出END bhv;2以下程序是BCD码表示099计数器的VHDL描述,试补充完整。LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE ;ENTITY cnt100b isport(clk, rst, en : in std_logic;cq: out std_logic_vector(7 downto 0); - 计数输出cout: out std_logic);- 进位输出END ENTITY cnt100b; bhv of cnt100b isBEGINPROCESS (clk, rst, en) cqi : std_logic_vector(7 downto 0);BEGINif rst = 1 thencqi := ;- 计数器清零elseif then- 上升沿判断if en = 1 thenif cqi(3 downto 0) 1001 then- 比较低4位 ;- 计数加1elseif cqi(7 downto 4) 0);end if;cqi ( ) := “0000”;- 低4位清零end if;end if; ;end if;if cqi = “ ” then- 判断进位输出cout = 1;elsecout = 0;end if; ;END PROCESS;END ARCHITECTURE bhv;3以下程序是多路选择器的VHDL描述,试补充完整。LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY bmux ISPORT (sel : STD_LOGIC;A, B : IN STD_LOGIC_VECTOR(7 DOWNTO 0);Y: STD_LOGIC_VECTOR(7 DOWNTO 0) ;END bmux;ARCHITECTURE bhv OF bmux ISBEGINy = A when sel = 1 ;END ;4以下程序是10/4线优先编码器的VHDL描述,试补充完整。LIBRARY IEEE ;USE IEEE. .ALL;ENTITY coder IS PORT ( din : IN STD_LOGIC_VECTOR( ); output : STD_LOGIC_VECTOR(3 DOWNTO 0) );END coder;ARCHITECTURE behav OF IS SIGNAL SIN : STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN PROCESS ( ) BEGIN IF (din(9)=0) THEN SIN = 1001 ; ELSIF ( ) THEN SIN = 1000 ; ELSIF (din(7)=0) THEN SIN = 0111 ; ELSIF (din(6)=0) THEN SIN = 0110 ; ELSIF (din(5)=0) THEN SIN = 0101 ; ELSIF (din(4)=0) THEN SIN = 0100 ; ELSIF (din(3)=0) THEN SIN = 0011 ; ELSIF (din(2)=0) THEN SIN = 0010 ; ELSIF (din(1)=0) THEN SIN = 0001 ; ELSE ; ; END PROCESS ; ;END behav;五、程序分析题1以下程序是四选一数据选择器的VHDL描述,请分析程序并画出原理图或详述其功能LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY multi_4v IS PORT(S : IN STD_LOGIC_VECTOR (1 DOWNTO 0); A,B,C,D : IN STD_LOGIC; Y : OUT STD_LOGIC);END multi_4v;ARCHITECTURE a OF multi_4v ISBEGINPROCESS BEGINIF (S=00) THEN Y = A; ELSIF (S=01) THEN Y = B; ELSIF (S=10) THEN Y = C; ELSIF (S=11) THEN Y = D; END IF; END PROCESS;END a;2下面是同步清零可逆计数器的程序,请分析程序并画出原理图或详述其功能LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY countud ISPORT(clk :IN STD_LOGIC; clr :IN STD_LOGIC; dire :IN STD_LOGIC; q :BUFFER STD_LOGIC_VECTOR(7 DOWNTO 0);END countud;ARCHITECTURE a OF countud ISBEGIN PROCESS(clk) BEGIN IF clkevent AND clk=1 THEN IF clr=0 THEN q=00000000; ELSIF dire=1 THEN q=q+1; ELSE q=q-1; END IF; END IF; END PROCESS;END a;3以下程序是上升沿计数器的VHDL描述,请分析程序并画出原理图或详述其功能LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY three ISPORT(clk,d : INSTD_LOGIC; Dout : OUTSTD_LOGIC );END;ARCHITECTURE bhv OF three ISSIGNAL tmp: STD_LOGIC;BEGINP1:PROCESS(clk)BEGINIF rising_edge(clk) THENTmp = d;dout = tmp; END IF;END PROCESS P1;END bhv; 六、程序阅读理解题1以下程序能实现加和减功能的计数器,请在划线空白处注释该句的功能Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_unsigned.all;Entity up_down is Port(clk,rst,en,up:instd_logic; Sum:outstd_logic_vector(2 downto 0);Cout:outstd_logic);End up_down;Architecture a of up_down is Signal count:std_logic_vector(2 downto 0); BeginProcess(clk,rst) BeginIf rst=0 thenCount0) ; Elsif rising_edge(clk) then If en=1 then Case up isWhen 1 = countcount=count-1; End case;End if;End if;End process;Sum=count; Cout =1 when en=1 and (up=1 and count=7) or (up=0 and count=0) else 0; End a; 2仔细阅读下列程序,回答问题LIBRARY IEEE;- 1USE IEEE.STD_LOGIC_1164.ALL;- 2ENTITY LED7SEG IS- 3PORT (A : IN STD_LOGIC_VECTOR(3 DOWNTO 0);- 4CLK : IN STD_LOGIC;- 5LED7S : OUT STD_LOGIC_VECTOR(6 DOWNTO 0);- 6END LED7SEG;- 7ARCHITECTURE one OF LED7SEG IS- 8SIGNAL TMP : STD_LOGIC;- 9BEGIN- 10

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论