课程设计(论文)-交通信号控制器.doc_第1页
课程设计(论文)-交通信号控制器.doc_第2页
课程设计(论文)-交通信号控制器.doc_第3页
课程设计(论文)-交通信号控制器.doc_第4页
课程设计(论文)-交通信号控制器.doc_第5页
已阅读5页,还剩9页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

交通信号控制器 changsha university of science & technology课程设计(论文)题目: 交通信号控制器 学生姓名: 学 号: 班 级: 专 业: 电子信息工程指导教师: 200 9 年 6 月 12 日目录一:绪论3 1. 1 课程设计背景 31.2 课程设计目的31.3 课程设计指标4二:基础知识.42.1 设计思路.42.2. 所用仪器和元器件3三:设计的步骤和过程.73.1 秒脉冲信号发生器电路图 .73.2 状态控制器.83.3 定时器.83.4 计数器.93.5 测试要点.10四: 仿真结果.11五:心得体会.11六:建议.13七:参考文献.13八:附录1.14交通信号控制器一:绪论 交通灯信号控制系统是典型的数字电路控制系统。通过该系统的设计和仿真实验、学生可得到数字电路及系统的综合训练。系统工作的十字路口由通行量较大的主干道和通行量较小的支干道组成。路口设有红、黄、绿三色信号灯 和两位8421bcd码的计数器和译码显示器。通过控制器将使三色灯在规定的时间内亮灯:主红和干绿灯亮,并且显示器是从20秒开始倒计时;当显示器倒计时为00秒时,主红和干黄灯亮,显示器从5秒开始倒计时;当显示器倒计时又为00秒时,主绿和干红灯亮,显示器从60秒开始倒计时。依此循环1.1:课程设计背景在一个交通繁忙的十字路口,没有交通灯来控制来往车辆和行人的通行,假设也没有交警,那会发生什么事情呢?后果是难以想象的,可能会陷入一片混乱,甚至瘫痪。当然我们每个人都不希望这样。我们作为社会的一员,每人都有责任为它的更加先进和快捷做出力所能及的事情。1.2:课程设计目的(1) 培养学生根据题目要求,抽取相应信息,设计组合逻辑和时序逻辑电路的能力。(2) 使学生掌握设计交通信号控制器的电路的调试及组装方法。(3) 培养学生综合应用中规模组件的能力,提高自主创新能力。(4) 学会使用ewb电路仿真设计软件完成设计及仿真调试,提高学生查阅手册及合理选用器件的能力。1.3:课程设计指标在主、支道路的十字路口分别设置三色灯控制器,红灯亮禁止通行,绿灯亮允许通行,黄灯亮要求压线车辆快速穿越。根据车流状况不同,可调整三色灯点亮或关闭时间。1. 基本部分:(1) 主道路绿、黄、红灯亮的时间分别为60秒、5秒、25秒;次道路绿、黄、红灯亮的时间分别为20秒、5秒、65秒;(2) 主、次道路时间指示采用倒计时制,用2位数码管显示。2、 发挥部分(选做)(1) 主、次道路绿、黄、红灯亮的时间可以预置;(2) 主、次道路绿、黄、红灯亮的时间可以分别调整;二:基础知识2.1、 设计思路要实现上述交通信号灯的自动控制,则要求控制电路由时钟信号发生器、计数器、主控制器、信号灯译码驱动电路和数字显示译码驱动电路几部分组成,整体电路的原理框图如图:时钟电路主道计数器次道计数器译码显示器译码显示器组合电路组合电路秒脉冲电路主绿主黄主红次绿次黄次红计 数 器组 合 逻 辑 电 路图1.1 交通管理控制器框图下面是交通灯时序流程图,进行分析可得到交通信号灯的四个不同状态,如图1.2所示:图1.2 交通灯时序流程图即s0主干道绿灯亮、支干道红灯亮 s1主干道黄灯亮、支干道红灯亮 s2主干道红灯亮、支干道绿灯亮 s3主干道红灯亮、支干道黄灯亮 其状态编码及状态转换图如图所示:图1.3 状态转换图交通灯信号真值表如表1-1所示:表11 状态控制器输出主干道信号灯支干道信号灯q1q0红(mr)黄(my)绿(mg)红(sr)黄(sy)绿(sg)s000001100s101010100s210100001s311100010根据表11真值表,可写出各交通信号灯的与非逻辑函数表达式如下所示:mr=q10 + 1 q0= q1my= 1 q0mg=10sr=10+1 q0=1sy= q1 q0sg= q102.2. 所用仪器和元器件(1)、 秒脉冲信号发生器采用555时基电路构成多谐振荡器,产生1hz脉冲。图1.4 555时基内部电路图(2)、状态控制器采用集成计数器74hc163构成状态控制器,其工作原理如表1-2: 表1-2(3)、定时器 采用八输入缓存器74hc244来实现,其工作原理如表1-3: 表1-3(4)、计数器 采用十进制可逆计数器74hc192,其工作原理如表1-4:表1-4 三:设计的步骤和过程3.1 秒脉冲信号发生器电路图由于要产生1hz的脉冲,所以计算得r1+2r2=14.4k ,同时尽量使占空比接近50,选择r1大于r2,即选择r1=10k ,r2=2.2k ,连接线路如图1.5所示:图1.5秒脉冲信号发生器电路图产生的波形为:3.2 状态控制器 根据灯控函数表达式:mr=q10 + 1 q0= q1my= 1 q0mg=10sr=10+1 q0=1sy= q1 q0sg= q10将状态控制器,状态译码器以及模拟三色灯相连接,构成信号灯转换控制电路如图1.6所示: 图1.6 状态控制器电路3.3 定时器预置到减法计数器的时间常数通过三个八输入缓冲器74hc244来完成。从上到下的三片74hc244分别预制了60,20,5三个不同的数据。八输出端前四位二进制数控制十位数,后四位二进制数控制个位数。 每个缓冲器74hc244的输入端通过接入8个开关对其可任意置从099的数,前四位和后四位分别控制置入十位数和个位数,即接高电平(接电源)为二进制数1,接低电平(接地)为二进制数0。主干道的黄灯控制启动输入数据为20秒的74hc244(使能端,低电平有效),使下一个状态支干道绿灯亮时以20秒减计数。当支干道绿灯亮时启动输入数据为5秒的74hc244,使得置入5秒的74hc244在支干道绿灯转黄灯时开始以5秒倒计计数。黄灯控制60秒的74hc244,当主干道绿灯亮时以60秒开始倒计数。依此原理一直循环下去。图1.7 定时器电路3.4 计数器两片74hc192分别控制两个二进制数,两片计数器之间采用异步级连接方式如图1.8。利用个位计数器的借位脉冲直接作为十位计数器的计数脉冲,个位计时器作为秒脉冲。选用带译码功能的显示译码管。1a1、1a2、1a3、1a4和2a1、2a2、2a3、2a4式十位和个位计数器的置数输入端。图1.8 计数器电路3.5 测试要点检查整机接线无误后,方可进行各部分电路的调试(1) 首先调试秒脉冲信号发生器电路 用示波器观察秒脉冲信号发生器的输出,其输出的信号周期为1s(2) 主.支干道调试 直接将秒脉冲信号接入状态控制器脉冲输入端(即集成计数器74hc163的脉冲输入cp端),在该脉冲的作用下,观察主 支干道三种颜色的信号灯是否按要求一次转换。(3) 定时器和减数器调试 将秒脉冲信号接入定时器系统电路脉冲输入端(即两片集成计数器,中的个位计数器的脉冲输入cp端),在脉冲作用下,将三片八缓冲器74hc244的置数选通端一次接地,计数器以三个不通的置数(60秒.2秒.5秒)输入为进制体制,完成减法计数,两位数码管应有相应的显示。(4) 把各个单元电路互相连接起来,进行系统总测试。四:仿真结果 用鼠标点动右上角的开关按钮,我们将先看到主红和干绿灯亮,并且显示器是从20秒开始倒计时;当显示器倒计时为00秒时,主红和干黄灯亮,显示器从5秒开始倒计时;当显示器倒计时又为00秒时,主绿和干红灯亮,显示器从60秒开始倒计时。依此循环。五:心得体会两周的课程设计实习很快过去了,但在课程设计实习中我们所经历的过程和收获的经验将永远照亮我们前进的道路。两个星期的课程设计实习时间并不算太长.但是在这并不长的一个星期里面却依然学到了不少的东西.了解并掌握了74hc163,74hc192,74hc244 和555多谐振荡,对ewb仿真软件有了进一步的了解,并对专业知识有了更进一步的理解。在此,得先感谢我们的四位指导老师,谢谢你们!如果没有你们的虚心教导,没有你们的认真讲解,我也不会学到那么多的东西,有那么多的收获,并且让我也对自己的人生有了进一步的规划,非常感谢你们!同时我也要感谢我的搭档,没有你的谅解和耐心,没有我们密切的配合,我们也不会把每一次的实验都完成得那么顺利。刚开始接到交通信号控制器的任务书时,有点紧张,毕竟由于自己对课程设计不了解和对内容的不清楚。后来我经过查找资料,询问老师,不断推敲,最后找到了出路。在选芯片上,我也出了一些问题,因为许多芯片的功能是相同的,而且对其功能不是特别的熟悉,后来通过和同学不断的探讨 ,自己独立思考,最后选定用74hc163,74hc192,74hc244 和555多谐振荡。所有的准备做好后就开始在ewb仿真软件上开始连线。由于第一次用ewb仿真软件,所以在连电路又遇到了点麻烦,不过后来,经过自己不断的尝试,不断的询问最后交通信号控制器电路终于成功的画出来了,并且仿真成功。在这次的实习中我学到了很多东西:第一:通过这次课程设计实习,了解并掌握了74hc163,74hc192,74hc244 和555多谐振荡,对ewb仿真软件有了进一步的了解,了解了一些简单的电路的结构原理和连线方法。第二:在实习的过程中我锻炼了自己的动手、自主创新能力。实践出真知,纵观古今,所有发明创造无一不是在实践中得到检验的。没有足够的动手能力,就奢谈在未来的科研尤其是实验研究中有所成就。在实习中,我锻炼了自己动手技巧,提高了自己解决问题的能力。第三:在实习的过程中增强了我的团队意识.在一个团队里面,光靠一个人的力量是远远不够的.只有大家齐心协力、相互配合、共同合作才能够又快又好地完成任务.第四:在实习的过程中增强了我的思考意识。思考着做事,事半功倍,更重要的是,做事的心态,也可以得到磨练,可以改变很多不良的习惯。比如当我们需要连接线路、布置线路时,这就需要我们不段的思考、尝试。这样线路才会更加的完美。在完成所有的阶段后,心中那强烈的成就感,真的不知道该用什么来形容了。电工实习的五天里,辛苦那已经是次等事了,而乐在其中,再怎样也都是值得的。 课程设计实习为我们提供了一个可以在知识的天空里翱翔的空间;提供了一个可以在知识的海洋里扬帆起航远行的航道。它为我们的学习生活增添了一道亮丽的风景线,为我们的理想之塔增砖添瓦,为我们的知识小帆鼓风助力。我们必将坐着智慧小船乘千里风破万里浪,开拓进取,勇往直前,朝着我们理想彼岸前进。再次感谢老师对我们的指导与教诲。六:建议通过两周的课程设计,我从中学到了很多在课本中学不到了知识,并且使得我们充分的将理论运用于实践,我们得到了充分的锻炼。但在实习的过程中,我想建议一下几点: (1) 当课程设计题目给定下来时老师应该给我们讲明我们正确解决的目的方向,不然会使得我们花很多的时间在确定方向上花很多时间。 (2) 由于有些器件我们接触的很少,我们对它们的了解更是不清楚,所以希望老师能够在器件选择方面能够提供参考。 (3) 学校应该多安排这

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论