基于单片机的心电监测系统设计_第1页
基于单片机的心电监测系统设计_第2页
基于单片机的心电监测系统设计_第3页
基于单片机的心电监测系统设计_第4页
基于单片机的心电监测系统设计_第5页
已阅读5页,还剩35页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

贺州学院本科毕业论文(设计)摘 要心脏病已成为危害人类健康的主要疾病之一。据统计,心血管疾病是威胁人类生命的主要疾病,世界上心脏病的死亡率仍占首位。因此,对心血管疾病的诊断、治疗一直被世界各国医学界所重视,准确地进行心电信号提取,为医生提供有效的辅助分析手段是重要而有意义的课题。随着电子技术的迅速发展,医用电子监护系统近年来己在临床诊断中逐渐应用。针对心电信号的特点进行心电信号的采集、数据转换模块的设计与开发。设计一种用于心电信号采集的电路,然后进行 A/D 转换,使得心电信号的频率达到采样要求。人体的心电信号是一种低频率的微弱信号,由于心电信号直接取自人体,所以在心电采集的过程中不可避免会混入各种干扰信号。为获得含有较小噪声的心电信号,需要对采集到的心电信号做降噪处理。首先,设计心电采集模块,包括心电前置放大器、带通滤波电路、线性光耦放大电路、50 Hz 陷波电路、35 Hz 陷波电路及电平抬升电路, A/D 转换电路输出显示电路等。其次,由于越来越多的研究者发现心电图中变化与大多数心血管疾病都有着紧密的联系,因此,本课题设计了心电信号检测方法,包括心电信号的采集,放大以及波形的液晶显示。在论文当中,设计的电路能够有效的抑制了各种干扰,检测出良好的心电信号。论文的研究工作基本上达到了设计的要求,为进一步的产品开发打下了良好的基础。关键词: 心电信号采集,降噪,A/D 转换放大,电源电路,单片机贺州学院本科毕业论文(设计)ABSTRACTHeart disease has become the one of major disease,which does harm to human healthAccording to statistics,cardiovascular disease is the major disease of threatening human life The death rate of heart disease still takes the first place around the world,so the diagnose and treatment for cardiovascular disease is paid much attention by the medical circle around the worldAccurately extracting ECG signal and providing effective method of auxiliary analyses is a very meaningful taskAlong with quick development of electronics technique,Medical electron monitoring system has been applied to the clinical diagnosis in the recent yearsECG signal acquisition, data conversion module design and development beyond the ECG characteristics. Design a circuit for ECG acquisition, and then do the A / D conversion, make the frequency of ECG sampling requirements to achieve. ECG signal is a low frequency signal, because ECG is taken directly from the human body, so the process of ECG acquisition inevitably mixed with a variety of interference signals. In order to obtain Low noise ECG signal, we need to do noise reduction of the collected ECG signal. Now, there are many ways to do the noise reduction of the ECG signal, this article introduce how to separate noise from signal using the filter.KEYWORDS: ECG signal acquisition, noise reduction, A / D conversion, power circuit贺州学院本科毕业论文(设计)目 录摘 要 .1ABSTRACT .2目 录 .31 绪论 .411 心电监测系统的发展背景及意义 .412 心电监测系统的发展现状 .413 心电监测系统的未来发展方向和展望 .52 系统设计方案论证 .521 心电监测系统的总体设计要求 .522 心电监测系统的系统结构设计 .623 心电监测系统设计的可行性论证 .73 硬件电路设计 .731 心电信号采集电路的设计 .732 前置放大电路的设计 .833 补偿电路的设计 .934 滤波电路的设计 .1035 主放大电路的设计 .1336 同相加法器电路的设计 .1437 ADC0808 转换电路的设计 .1438 显示电路的设计 .154 程序的设计 .1841 程序编译环境 .1842 程序设计思想 .195 仿真软件的应用与调试分析 .2251 Proteus 仿真软件 .2252 前置放大电路调试与分析 .2253 滤波电路调试与分析 .2354 主放大电路调试与分析 .2755 同相加法器电路调试与分析 .2856 显示电路调试与分析 .29结 论 .33致 谢 .34参 考 文 献 .35附录: 系统总电路图 .36贺州学院本科毕业论文(设计)1 绪论当今心血管疾病已成为威胁人类健康和生命的主要疾病之一,心脏病的死亡率仍居首位。据统计,世界上平均每年约几百万人死于此类疾病,我国因心血管疾病死亡人数约占总死亡人数的 44,很多心脏病人是由于未及时发现病变延误了治疗而最终导致死亡 1。因此,对心血管疾病的诊断、预防是当今医学界面临的首要问题。尽早地发现心血管系统疾病征兆,及时地了解心脏病状况,对疾病的预防和及时诊治具有重要的意义。11 心电监测系统的发展背景及意义心脏对于人体来说至关重要。心脏是人体血液循环的动力泵,心脏搏动是生命存在的重要标志,心脏搏动的节律也是人体生理状态的重要标志之一。心脏的基本活动包括电活动和机械活动,每个心动周期都是电活动在前,机械活动在后。心电信号是心脏电活动的一种客观表示方式,是一种典型的生物电信号,具有频率、振幅、相位、时间差等特征要素,比其他生物电信号更易于检测,并具有一定的规律性。由于心电信号从不同方面和层次上反映了心脏的工作状态,因此在心脏疾病的临床诊断和治疗过程中具有非常重要的参考价值。对心电信号的采集和分析一直是生物医学工程领域研究的一个热点,是一项复杂的工程,涉及到降低噪声和抗干扰技术,信号分析和处理技术等不同领域,也依赖于生命科学和临床医学的研究进展 1。自 1903 年心电图引入医学临床以来,无论是在生物医学方面,还是在工程学方面,心电信号的记录、处理与诊断技术均得到了飞速的发展,并积累了相当丰富的资料。当前,心电信号的检测、处理仍然是生物医学工程界的重要研究对象之一。12 心电监测系统的发展现状目前,国内各大医疗器械厂以及科研单位都对心电监测系统的开发上极度关注,并且都研发出了具有特色的心电监测系统产品。电子医疗技术的突飞猛进以及临床医学的相互促进,已经出现了各种各样的心电监测产品,常见的有床边心电监测、动态心电监测、电话心电监测和天线心电监测等。1.2.1 床边心电监测从 1962 年创立了冠心病监护病房以来,国内外均先后开展了床边心电监护。目前,心电监护已广泛应用到临床各种危重病和非危重病的监护,各种手术、特殊检查与治疗的监护。由于床边心电监护的开展,提高了临床诊断水平与医疗质量,极贺州学院本科毕业论文(设计)大地改善危重病人的抢救,起到预防和减少医疗事故或医疗纠纷的作用,也减轻了医护人员劳动强度,提高了工作效率。1.2.2 动态心电监测动态心电图记录了不同体位、不同状态、不同时间的心电变化,一次获得的信息长达 2.16Km,十余万次心搏和十余万次心动周期,现已成为诊断心率失常、心肌缺血、评估起搏功能和药物疗效的高新技术。1.2.3 电话心电监测电话传送心电监护是极大地缩短了医患、护患之间的距离,给患者一种安全感,从而稳定了患者不安的心理状态,消除了心理压力和负担,减少了心脏病的诱发因素。作为长期监护的患者,则在不断得到急救、护理指导的同时,提高了自救、自护能力。TTM 系统在国内的应用处在起步阶段,院外急救护理也是一个新的课题,需更进一步探讨、总结和提高,以更好地造福于全社会的心脏病患者。但是,如今有很多心电监测仪还没有得到普及,还存在一些问题:(a)提供的心电处理功能和记录的心电信息有限,医生从中难以得到全面的电信息,降低了医生对疾病诊断的准确率。(b)通常都选用了数字信号处理器作为心电数据分析的核心器件,而数据通信、实时时钟、液晶显示、程序存储器等都需要外扩专门的功能器件,致使结构比较复杂,体积比庞大,同时功耗也比较严重,价格比较昂贵,一般患者难以承受。(c)与之配套的监护网络和心电数据处理中心尚不完善。13 心电监测系统的未来发展方向和展望传统的基于 PC 机平台的心电监测仪价格昂贵,体积庞大,不便于移动且主要集中在大医院而无法实时监测患者的病情,给医生和患者带来了很大的不便。近年来,随着计算机网络、通信等相关技术的迅速发展,心电监测技术已经逐渐应用到远程医疗领域中,使得医院为心脏病患者的远程保健服务成为可能。未来的心电监测系统会具有成本低、体积小、可靠性高、操作简单等优点,会继续向全信息、固态记录、多导联同步采集等方向发展,适用于个人、中小医院和社区医疗单位,为家庭保健和远程医疗等新兴的医疗途径提供良好的帮助和支持。贺州学院本科毕业论文(设计)2 系统设计方案论证21 心电监测系统的总体设计要求本课题的题目是基于单片机的心电监测系统设计。需要心电监测信号经采集装置上传到前置放大器,信号先进行放大 8 倍,再通过滤波电路进行滤波,滤掉 0.05Hz 以下频率以及 105Hz 以上频率,又阻止 50Hz 工频干扰信号。已经滤波的信号经过后级放大电路将其放大至伏特级别,再经过加法器电路将其波形提升到 0V 以上,便于单片机 A/D 转化电路直接转化为数字值。经过处理的心电信号最终由简易的示波器显示到液晶屏上,以便使用者能够实时方便的观察到心电波的频谱与波形。液晶屏选用具有 KS0108 控制器的 12864LCM,采用 AT89C51 单片机进行数据的处理并驱动显示屏显示波形。22 心电监测系统的系统结构设计对于心电信号的采集,采用标准导联方式进行采集。心电信号是一种微弱的电信号,要先利用前置放大电路将信号放大 8 倍。由于人体信号源中存在各种噪声干扰,为了抵消这些干扰,可以设计一个补偿电路。对于放大以后的信号,让它通过滤波电路进行滤波。心电信号中存在 0.05Hz 以下的频率信号、105Hz 以上的频率信号和 50Hz 的工频干扰信号,需要让心电信号依次通过低通滤波器、高通滤波器和带阻滤波器,经过滤波电路以后的信号变的比较干净。然后为将心电信号放大到伏特级别,让其通过一个主放大电路。同时,为了便于单片机和 ADC0808 的信号采集和处理,可以让心电信号通过一个加法器电路,将波形提升到 0V 以上。然后通过显示电路让经过单片机处理的信号显示在液晶屏上。整个系统的结构图如图 2.1 所示。贺州学院本科毕业论文(设计)补偿电路仪表放大器前置电路滤波器电路 主放大电路同相加法器ADC0808单片机心电信号采集显示电路图 2.1 系统结构图23 心电监测系统设计的可行性论证在所学的知识中,对于心电信号采集,可以利用特定的传感器进行心电信号的采集;对于前置放大电路,可以采用集成仪表放大器 AD620 进行信号的前置放大;对于补偿电路,可以用运算放大器和反馈电阻组成补偿电路;对于滤波电路,可以利用有源滤波器电路通过计算设计出符合要求的低通滤波器、高通滤波器和带阻滤波器;对于主放大电路,可以利用集成运算放大器对信号进行再次放大;对于加法器电路,可以利用集成运算放大器来进行加法器的设计;对于心电信号的数字化以及分析处理,可以利用单片机和 ADC0808 来设计硬件电路;对于显示电路,可以让心电信号在液晶上显示。A/D 转换电路,显示电路以及单片机对心电信号的分析处理需要进行软件的编写。通过论证,上述的系统结构设计方案可行,可以达到心电监测系统的设计要求。3 硬件电路设计31 心电信号采集电路的设计心电信号是一种典型的人体生理信号,具有生物电信号的普遍特征,如幅度小、频率低并且易受外界环境干扰,为采集和测量带来了难度。由于本系统需要进行大量的数学运算,所以对处理器的数据处理能力和速度也有很高的要求。如果选用处贺州学院本科毕业论文(设计)理速度很快的处理器,则相应的外设也要有与之相适应的性能指标 16。综合各个方面因素,电路设计要求:(1)对微弱的心电心电信号进行放大和滤波等必要的信号调理a)设计合理的导联系统,选择合适的传感器。b)设计合理的有源滤波器,能够进行005-100Hz的带通滤波,50Hz陷波。c)实现1000倍的信号放大。d)实现信号电压抬高。(2)进行符合要求的AD转换根据采样定理,采样频率要是心电频率的2倍以上,所以A/D的采样频率至少要达到200Hz以上。(3)设计电源电路32 前置放大电路的设计本设计中的前置放大电路采用集成仪表放大器 AD620。由于所要处理的电信号比较微弱,况且其波形质量要求偏高,须具有高输入阻抗,高共模抑制比,低噪声以及低漂移。因此在本次设计中可以选用集成仪表放大器 AD620 来进行前置放大电路的设计。3.2.1 AD620 芯片简介AD620 内部由三个放大器共同组成,其引脚图如图 3.2 所示。在使用中,芯片1、8 脚接 Rx,4、7 脚接正负相等的工作电压,2、3 接输入的弱电压信号,6 脚为输出引脚,5 脚为参考基准。图 3.2 AD620 管脚图本设计可以通过调整 Rx的大小来调整 AD620 的增益值,其增益可以通过公式(3.1)进行计算。(3.1)49.1GKAD620 增益范围是 11000。它具有低耗电,温度稳定性好,精确度高,低噪声,贺州学院本科毕业论文(设计)放大频带宽,具有较高的共模抑制比,噪声系数小,调节方便等优点。该芯片可提供的最大电流为 1.3mA 的电流。适用于 ECG 测量、医疗器件、压力测量、信号采集等场合。3.2.2 前置放大电路设计如图 3.3 所示,差分输入端 IO2、IO3 分别接标准导联的正负输入端,R 1、R 4 、R 5共同决定放大电路的放大倍数。在整体的电路工作中,因为心电信号比较微弱,须放大 1000 倍左右。但是,依据小信号放

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论